Started by upstream project "ESP_Beta" build number 701 originally caused by: Started by remote host 18.18.33.14 Started by remote host 18.18.33.14 Running as SYSTEM [EnvInject] - Loading node environment variables. Building remotely on macys in workspace /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64 [WS-CLEANUP] Deleting project workspace... [WS-CLEANUP] Deferred wipeout is used... Checking out a fresh workspace because there's no workspace at /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64 Cleaning local Directory . Checking out svn+ssh://acdl.mit.edu/home/svnroot/OpenCSM/trunk/Jenkins at revision '2024-04-03T11:00:11.016 -0400' --quiet Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ At revision 2475 Checking out a fresh workspace because /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP doesn't exist Cleaning local Directory JenkinsESP Checking out svn+ssh://acdl.mit.edu/home/svnroot/JenkinsESP at revision '2024-04-03T11:00:11.016 -0400' --quiet Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ At revision 851 No changes for svn+ssh://acdl.mit.edu/home/svnroot/OpenCSM/trunk/Jenkins since the previous build No changes for svn+ssh://acdl.mit.edu/home/svnroot/JenkinsESP since the previous build [GitCheckoutListener] SCM 'hudson.scm.SubversionSCM' is not of type GitSCM Run condition [Execution node ] enabling prebuild for step [Execute shell] Run condition [Execution node ] enabling prebuild for step [Execute shell] Run condition [Execution node ] enabling prebuild for step [Execute Windows batch command] [Execution node] check if [macys] is in [[macys, macys_VM, reynolds, reynolds-centOS7, reynolds-centOS8, reynolds-ubuntu18]] Run condition [Execution node ] enabling perform for step [Execute shell] [DARWIN64] $ /bin/bash -ex /var/folders/xs/_fh4l1p54zqcl6mbk4zclcfr0000gp/T/jenkins7169169370776408180.sh + bash -ex /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP/ESP_Beta.sh + source /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP/ESP_Env.sh ++ export OCCTREF=7.6 ++ OCCTREF=7.6 ++ AFLR_VERSION=11.5.9 ++ SU2_VERSION=8.0.0 ++ TETGEN_VERSION=1.6.0 ++ VSP_VERSION=3.34.0 ++ export PYTHON_VERSION=3.11.6 ++ PYTHON_VERSION=3.11.6 ++ export EFCOMP=gfortran ++ EFCOMP=gfortran ++ [[ macys == \r\e\y\n\o\l\d\s ]] ++ [[ DARWIN64 == *\.\i\f\o\r\t ]] ++ '[' -f /opt/intel/oneapi/setvars.sh ']' ++ source /opt/intel/oneapi/setvars.sh ++ '[' -f /Users/jenkins/.bashrc ']' ++ export CAPS_OUTLEVEL=0 ++ CAPS_OUTLEVEL=0 ++ [[ DARWIN64 == \D\A\R\W\I\N\6\4\.\g\c\c ]] ++ export ESP_BLOC=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64 ++ ESP_BLOC=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64 ++ export ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64 ++ ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64 ++ export JULIA_DEPOT_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/julia ++ JULIA_DEPOT_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/julia ++ export AWAVE=awavemod.f ++ AWAVE=awavemod.f ++ export RLM_CONNECT_TIMEOUT=350 ++ RLM_CONNECT_TIMEOUT=350 ++ [[ 7.6 != '' ]] ++ [[ '' != '' ]] ++ [[ macys == *\r\e\y\n\o\l\d\s* ]] ++ [[ macys == *\m\a\c\y\s* ]] ++ export EMPnumProc=2 ++ EMPnumProc=2 ++ export OMP_NUM_THREADS=2 ++ OMP_NUM_THREADS=2 ++ source /Users/jenkins/.bash_profile +++ export PATH=/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ PATH=/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ export PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ export pwid_LICENSE=5053@acdl.mit.edu +++ pwid_LICENSE=5053@acdl.mit.edu ++ [[ macys == *\m\a\c\y\s* ]] ++ export PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ [[ macys == \m\a\c\y\s ]] ++ echo 'data/fighter4.csm; ERROR:: build not completed because error -214 (did_not_create_body) was detected' ++ echo 'data/fighter4.csm; ERROR:: build not completed because error -214 (did_not_create_body) was detected' ++ echo 'data/fighter4.csm; ERROR:: build not completed because error -214 (did_not_create_body) was detected' ++ export CASARCH=. ++ CASARCH=. ++ '[' '!' -z '' ']' +++ ls -d /Users/jenkins/util/ESP/OpenCASCADE-7.7.0 ++ export CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 ++ CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 ++ export UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/udunits/udunits2.xml ++ UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/udunits/udunits2.xml ++ export ESP_EXTERN_LIBS=/Users/jenkins/util/ESP/ExternLibs ++ ESP_EXTERN_LIBS=/Users/jenkins/util/ESP/ExternLibs ++ export DYLD_LIBRARY_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/lib:/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/lib:/opt/intel/oneapi/tbb/2021.3.0/env/../lib:/opt/intel/oneapi/mkl/2021.3.0/lib:/opt/intel/oneapi/ipp/2021.3.0/lib:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib/intel64_mac:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib ++ DYLD_LIBRARY_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/lib:/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/lib:/opt/intel/oneapi/tbb/2021.3.0/env/../lib:/opt/intel/oneapi/mkl/2021.3.0/lib:/opt/intel/oneapi/ipp/2021.3.0/lib:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib/intel64_mac:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib ++ export AFLR=/Users/jenkins/util/AFLR/aflr_lib_11.5.9 ++ AFLR=/Users/jenkins/util/AFLR/aflr_lib_11.5.9 ++ [[ macys == *\m\a\c\y\s* ]] ++ export AFLR_ARCH=MacOSX-x86-64 ++ AFLR_ARCH=MacOSX-x86-64 ++ export ASTROS_ROOT=/Users/jenkins/util/astros/Astros/Darwin-64bit ++ ASTROS_ROOT=/Users/jenkins/util/astros/Astros/Darwin-64bit ++ export PATH=/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export CART3D=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16 ++ CART3D=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16 ++ export CART3D_ARCH=OSX64_ICC ++ CART3D_ARCH=OSX64_ICC ++ export PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export PATH=/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export VSP3_ROOT=/Users/jenkins/util/OpenVSP/OpenVSP-3.34.0-MacOS ++ VSP3_ROOT=/Users/jenkins/util/OpenVSP/OpenVSP-3.34.0-MacOS ++ export PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ [[ macys == \m\a\c\y\s ]] ++ export SEACAS=/Users/jenkins/util/sandialabs/seacas ++ SEACAS=/Users/jenkins/util/sandialabs/seacas ++ export SU2_HOME=/Users/jenkins/util/SU2/SU2-v8.0.0 ++ SU2_HOME=/Users/jenkins/util/SU2/SU2-v8.0.0 ++ export SU2_RUN=/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ SU2_RUN=/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ export PATH=/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ '[' -d /Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16 ']' +++ perl -I/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/lib/perl5 -Mlocal::lib=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl ++ eval 'PATH="/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin${PATH:+:${PATH}}"; export PATH; PERL5LIB="/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/lib/perl5${PERL5LIB:+:${PERL5LIB}}"; export PERL5LIB; PERL_LOCAL_LIB_ROOT="/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl${PERL_LOCAL_LIB_ROOT:+:${PERL_LOCAL_LIB_ROOT}}"; export PERL_LOCAL_LIB_ROOT; PERL_MB_OPT="--install_base \"/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl\""; export PERL_MB_OPT; PERL_MM_OPT="INSTALL_BASE=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl"; export PERL_MM_OPT;' +++ PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin +++ export PATH +++ PERL5LIB=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/lib/perl5 +++ export PERL5LIB +++ PERL_LOCAL_LIB_ROOT=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl +++ export PERL_LOCAL_LIB_ROOT +++ PERL_MB_OPT='--install_base "/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl"' +++ export PERL_MB_OPT +++ PERL_MM_OPT=INSTALL_BASE=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl +++ export PERL_MM_OPT ++ export PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ [[ macys == \m\a\c\y\s* ]] ++ mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin ++ rm -f /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/python ++ rm -f /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/python-config ++ rm -f /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/virtualenv ++ rm -f '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/analyze-*' ++ rm -f '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/intercept-*' ++ [[ macys == \m\a\c\y\s ]] ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/python3 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/python ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/python3-config /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/python-config ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/virtualenv /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/virtualenv +++ ls /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/analyze-build ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/analyze-c++ ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/analyze-cc ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/intercept-build ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/intercept-c++ ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/intercept-cc +++ python-config --includes ++ export 'PYTHONINC=. -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11' ++ PYTHONINC='. -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11' +++ python-config --help ++ [[ Usage: /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin/python-config [--prefix|--exec-prefix|--includes|--libs|--cflags|--ldflags|--extension-suffix|--help|--abiflags|--configdir|--embed] == *\e\m\b\e\d* ]] +++ python-config --ldflags --embed ++ export 'PYTHONLIB=-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation' ++ PYTHONLIB='-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation' ++ [[ macys == \m\a\c\y\s ]] ++ export 'PYTHONLIB=-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation -Wl,-w' ++ PYTHONLIB='-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation -Wl,-w' ++ export PYTHONUNBUFFERED=true ++ PYTHONUNBUFFERED=true ++ export PYTHONFAULTHANDLER=true ++ PYTHONFAULTHANDLER=true ++ python --version Python 3.11.6 ++ [[ DARWIN64 == \D\A\R\W\I\N\6\4\.\g\c\c ]] ++ export CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/data ++ CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/data + virtualenv /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv created virtual environment CPython3.11.6.final.0-64 in 23337ms creator CPython3Posix(dest=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv, clear=False, no_vcs_ignore=False, global=False) seeder FromAppData(download=False, pip=bundle, setuptools=bundle, wheel=bundle, via=copy, app_data_dir=/Users/jenkins/Library/Application Support/virtualenv) added seed packages: pip==24.0, setuptools==69.1.1, wheel==0.42.0 activators BashActivator,CShellActivator,FishActivator,NushellActivator,PowerShellActivator,PythonActivator + . /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/activate ++ '[' /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/activate = /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP/ESP_Beta.sh ']' ++ deactivate nondestructive ++ unset -f pydoc ++ '[' -z '' ']' ++ '[' -z '' ']' ++ hash -r ++ '[' -z '' ']' ++ unset VIRTUAL_ENV ++ unset VIRTUAL_ENV_PROMPT ++ '[' '!' nondestructive = nondestructive ']' ++ VIRTUAL_ENV=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv ++ '[' darwin22 = cygwin ']' ++ '[' darwin22 = msys ']' ++ export VIRTUAL_ENV ++ _OLD_VIRTUAL_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH ++ '[' x '!=' x ']' +++ basename /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv ++ VIRTUAL_ENV_PROMPT=ESP_venv ++ export VIRTUAL_ENV_PROMPT ++ '[' -z '' ']' ++ '[' -z '' ']' ++ _OLD_VIRTUAL_PS1= ++ PS1='(ESP_venv) ' ++ export PS1 ++ alias pydoc ++ true ++ hash -r + pip_install pip + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade pip Requirement already satisfied: pip in ./ESP_venv/lib/python3.11/site-packages (24.0) + pip_install f90nml + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade f90nml Collecting f90nml Using cached f90nml-1.4.4-py2.py3-none-any.whl.metadata (6.0 kB) Using cached f90nml-1.4.4-py2.py3-none-any.whl (32 kB) Installing collected packages: f90nml Successfully installed f90nml-1.4.4 + pip_install numpy + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade numpy Collecting numpy Using cached numpy-1.26.4-cp311-cp311-macosx_10_9_x86_64.whl.metadata (61 kB) Using cached numpy-1.26.4-cp311-cp311-macosx_10_9_x86_64.whl (20.6 MB) Installing collected packages: numpy Successfully installed numpy-1.26.4 + pip_install openmdao + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade openmdao Collecting openmdao Using cached openmdao-3.31.1-py3-none-any.whl Collecting networkx>=2.0 (from openmdao) Using cached networkx-3.2.1-py3-none-any.whl.metadata (5.2 kB) Requirement already satisfied: numpy in ./ESP_venv/lib/python3.11/site-packages (from openmdao) (1.26.4) Collecting scipy (from openmdao) Using cached scipy-1.13.0-cp311-cp311-macosx_10_9_x86_64.whl.metadata (60 kB) Collecting requests (from openmdao) Using cached requests-2.31.0-py3-none-any.whl.metadata (4.6 kB) Collecting packaging (from openmdao) Using cached packaging-24.0-py3-none-any.whl.metadata (3.2 kB) Collecting charset-normalizer<4,>=2 (from requests->openmdao) Using cached charset_normalizer-3.3.2-cp311-cp311-macosx_10_9_x86_64.whl.metadata (33 kB) Collecting idna<4,>=2.5 (from requests->openmdao) Using cached idna-3.6-py3-none-any.whl.metadata (9.9 kB) Collecting urllib3<3,>=1.21.1 (from requests->openmdao) Using cached urllib3-2.2.1-py3-none-any.whl.metadata (6.4 kB) Collecting certifi>=2017.4.17 (from requests->openmdao) Using cached certifi-2024.2.2-py3-none-any.whl.metadata (2.2 kB) Using cached networkx-3.2.1-py3-none-any.whl (1.6 MB) Using cached packaging-24.0-py3-none-any.whl (53 kB) Using cached requests-2.31.0-py3-none-any.whl (62 kB) Using cached scipy-1.13.0-cp311-cp311-macosx_10_9_x86_64.whl (39.3 MB) Using cached certifi-2024.2.2-py3-none-any.whl (163 kB) Using cached charset_normalizer-3.3.2-cp311-cp311-macosx_10_9_x86_64.whl (121 kB) Using cached idna-3.6-py3-none-any.whl (61 kB) Using cached urllib3-2.2.1-py3-none-any.whl (121 kB) Installing collected packages: urllib3, scipy, packaging, networkx, idna, charset-normalizer, certifi, requests, openmdao Successfully installed certifi-2024.2.2 charset-normalizer-3.3.2 idna-3.6 networkx-3.2.1 openmdao-3.31.1 packaging-24.0 requests-2.31.0 scipy-1.13.0 urllib3-2.2.1 + [[ macys == *\v\i\g\g\e\n* ]] + pip_install cvxopt + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade cvxopt Collecting cvxopt Using cached cvxopt-1.3.2-cp311-cp311-macosx_10_9_x86_64.whl.metadata (1.3 kB) Using cached cvxopt-1.3.2-cp311-cp311-macosx_10_9_x86_64.whl (13.8 MB) Installing collected packages: cvxopt Successfully installed cvxopt-1.3.2 + pip_install dill + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade dill Collecting dill Using cached dill-0.3.8-py3-none-any.whl.metadata (10 kB) Using cached dill-0.3.8-py3-none-any.whl (116 kB) Installing collected packages: dill Successfully installed dill-0.3.8 + pip_install packaging + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade packaging Requirement already satisfied: packaging in ./ESP_venv/lib/python3.11/site-packages (24.0) + pip_install matplotlib + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade matplotlib Collecting matplotlib Using cached matplotlib-3.8.3-cp311-cp311-macosx_10_12_x86_64.whl.metadata (5.8 kB) Collecting contourpy>=1.0.1 (from matplotlib) Using cached contourpy-1.2.1-cp311-cp311-macosx_10_9_x86_64.whl.metadata (5.8 kB) Collecting cycler>=0.10 (from matplotlib) Using cached cycler-0.12.1-py3-none-any.whl.metadata (3.8 kB) Collecting fonttools>=4.22.0 (from matplotlib) Using cached fonttools-4.50.0-cp311-cp311-macosx_10_9_x86_64.whl.metadata (159 kB) Collecting kiwisolver>=1.3.1 (from matplotlib) Using cached kiwisolver-1.4.5-cp311-cp311-macosx_10_9_x86_64.whl.metadata (6.4 kB) Requirement already satisfied: numpy<2,>=1.21 in ./ESP_venv/lib/python3.11/site-packages (from matplotlib) (1.26.4) Requirement already satisfied: packaging>=20.0 in ./ESP_venv/lib/python3.11/site-packages (from matplotlib) (24.0) Collecting pillow>=8 (from matplotlib) Using cached pillow-10.3.0-cp311-cp311-macosx_10_10_x86_64.whl.metadata (9.2 kB) Collecting pyparsing>=2.3.1 (from matplotlib) Using cached pyparsing-3.1.2-py3-none-any.whl.metadata (5.1 kB) Collecting python-dateutil>=2.7 (from matplotlib) Using cached python_dateutil-2.9.0.post0-py2.py3-none-any.whl.metadata (8.4 kB) Collecting six>=1.5 (from python-dateutil>=2.7->matplotlib) Using cached six-1.16.0-py2.py3-none-any.whl.metadata (1.8 kB) Using cached matplotlib-3.8.3-cp311-cp311-macosx_10_12_x86_64.whl (7.6 MB) Using cached contourpy-1.2.1-cp311-cp311-macosx_10_9_x86_64.whl (262 kB) Using cached cycler-0.12.1-py3-none-any.whl (8.3 kB) Using cached fonttools-4.50.0-cp311-cp311-macosx_10_9_x86_64.whl (2.3 MB) Using cached kiwisolver-1.4.5-cp311-cp311-macosx_10_9_x86_64.whl (68 kB) Using cached pillow-10.3.0-cp311-cp311-macosx_10_10_x86_64.whl (3.5 MB) Using cached pyparsing-3.1.2-py3-none-any.whl (103 kB) Using cached python_dateutil-2.9.0.post0-py2.py3-none-any.whl (229 kB) Using cached six-1.16.0-py2.py3-none-any.whl (11 kB) Installing collected packages: six, pyparsing, pillow, kiwisolver, fonttools, cycler, contourpy, python-dateutil, matplotlib Successfully installed contourpy-1.2.1 cycler-0.12.1 fonttools-4.50.0 kiwisolver-1.4.5 matplotlib-3.8.3 pillow-10.3.0 pyparsing-3.1.2 python-dateutil-2.9.0.post0 six-1.16.0 + pip_install scipy + /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade scipy Requirement already satisfied: scipy in ./ESP_venv/lib/python3.11/site-packages (1.13.0) Requirement already satisfied: numpy<2.3,>=1.22.4 in ./ESP_venv/lib/python3.11/site-packages (from scipy) (1.26.4) + unset PYTHONINC + unset PYTHONLIB + unset UDUNITS2_XML_PATH + unset ESP_BLOC + unset ESP_ROOT + unset ESP_ARCH + unset AWAVE + unset AFLR + unset AFLR_ARCH + wget --tries=3 https://acdl.mit.edu/ESP/archive/ESPbeta.tgz --2024-04-03 11:07:38-- https://acdl.mit.edu/ESP/archive/ESPbeta.tgz Resolving acdl.mit.edu (acdl.mit.edu)... 18.18.33.14 Connecting to acdl.mit.edu (acdl.mit.edu)|18.18.33.14|:443... connected. HTTP request sent, awaiting response... 200 OK Length: 270048523 (258M) [application/x-gzip] Saving to: ‘ESPbeta.tgz’ 0K .......... .......... .......... .......... .......... 0% 72.7M 4s 50K .......... .......... .......... .......... .......... 0% 74.3M 4s 100K .......... .......... .......... .......... .......... 0% 69.6M 4s 150K .......... .......... .......... .......... .......... 0% 73.3M 4s 200K .......... .......... .......... .......... .......... 0% 79.3M 3s 250K .......... .......... .......... .......... .......... 0% 90.1M 3s 300K .......... .......... .......... .......... .......... 0% 77.3M 3s 350K .......... .......... .......... .......... .......... 0% 72.1M 3s 400K .......... .......... .......... .......... .......... 0% 56.8M 4s 450K .......... .......... .......... .......... .......... 0% 39.4M 4s 500K .......... .......... .......... .......... .......... 0% 43.2M 4s 550K .......... .......... .......... .......... .......... 0% 55.9M 4s 600K .......... .......... .......... .......... .......... 0% 63.3M 4s 650K .......... .......... .......... .......... .......... 0% 72.1M 4s 700K .......... .......... .......... .......... .......... 0% 46.9M 4s 750K .......... .......... .......... .......... .......... 0% 76.4M 4s 800K .......... .......... .......... .......... .......... 0% 28.1M 4s 850K .......... .......... .......... .......... .......... 0% 80.4M 4s 900K .......... .......... .......... .......... .......... 0% 90.4M 4s 950K .......... .......... .......... .......... .......... 0% 79.4M 4s 1000K .......... .......... .......... .......... .......... 0% 61.7M 4s 1050K .......... .......... .......... .......... .......... 0% 47.9M 4s 1100K .......... .......... .......... .......... .......... 0% 44.2M 4s 1150K .......... .......... .......... .......... .......... 0% 37.6M 4s 1200K .......... .......... .......... .......... .......... 0% 42.0M 4s 1250K .......... .......... .......... .......... .......... 0% 32.2M 5s 1300K .......... .......... .......... .......... .......... 0% 33.6M 5s 1350K .......... .......... .......... .......... .......... 0% 66.0M 5s 1400K .......... .......... .......... .......... .......... 0% 29.1M 5s 1450K .......... .......... .......... .......... .......... 0% 21.9M 5s 1500K .......... .......... .......... .......... .......... 0% 22.6M 5s 1550K .......... .......... .......... .......... .......... 0% 22.5M 5s 1600K .......... .......... .......... .......... .......... 0% 26.4M 6s 1650K .......... .......... .......... .......... .......... 0% 50.3M 6s 1700K .......... .......... .......... .......... .......... 0% 41.1M 6s 1750K .......... .......... .......... .......... .......... 0% 99.9M 5s 1800K .......... .......... .......... .......... .......... 0% 43.6M 5s 1850K .......... .......... .......... .......... .......... 0% 46.0M 5s 1900K .......... .......... .......... .......... .......... 0% 42.2M 6s 1950K .......... .......... .......... .......... .......... 0% 52.0M 5s 2000K .......... .......... .......... .......... .......... 0% 45.8M 5s 2050K .......... .......... .......... .......... .......... 0% 49.5M 5s 2100K .......... .......... .......... .......... .......... 0% 50.3M 5s 2150K .......... .......... .......... .......... .......... 0% 54.4M 5s 2200K .......... .......... .......... .......... .......... 0% 50.9M 5s 2250K .......... .......... .......... .......... .......... 0% 44.5M 5s 2300K .......... .......... .......... .......... .......... 0% 45.3M 5s 2350K .......... .......... .......... .......... .......... 0% 31.7M 6s 2400K .......... .......... .......... .......... .......... 0% 17.9M 6s 2450K .......... .......... .......... .......... .......... 0% 40.7M 6s 2500K .......... .......... .......... .......... .......... 0% 111M 6s 2550K .......... .......... .......... .......... .......... 0% 105M 6s 2600K .......... .......... .......... .......... .......... 1% 55.7M 6s 2650K .......... .......... .......... .......... .......... 1% 38.6M 6s 2700K .......... .......... .......... .......... .......... 1% 18.1M 6s 2750K .......... .......... .......... .......... .......... 1% 64.2M 6s 2800K .......... .......... .......... .......... .......... 1% 17.6M 6s 2850K .......... .......... .......... .......... .......... 1% 15.6M 6s 2900K .......... .......... .......... .......... .......... 1% 23.8M 6s 2950K .......... .......... .......... .......... .......... 1% 22.2M 6s 3000K .......... .......... .......... .......... .......... 1% 39.8M 6s 3050K .......... .......... .......... .......... .......... 1% 47.4M 6s 3100K .......... .......... .......... .......... .......... 1% 36.6M 6s 3150K .......... .......... .......... .......... .......... 1% 35.9M 6s 3200K .......... .......... .......... .......... .......... 1% 45.9M 6s 3250K .......... .......... .......... .......... .......... 1% 48.8M 6s 3300K .......... .......... .......... .......... .......... 1% 24.6M 6s 3350K .......... .......... .......... .......... .......... 1% 28.1M 6s 3400K .......... .......... .......... .......... .......... 1% 105M 6s 3450K .......... .......... .......... .......... .......... 1% 44.9M 6s 3500K .......... .......... .......... .......... .......... 1% 34.4M 6s 3550K .......... .......... .......... .......... .......... 1% 32.7M 6s 3600K .......... .......... .......... .......... .......... 1% 26.9M 6s 3650K .......... .......... .......... .......... .......... 1% 28.9M 6s 3700K .......... .......... .......... .......... .......... 1% 26.1M 6s 3750K .......... .......... .......... .......... .......... 1% 22.9M 6s 3800K .......... .......... .......... .......... .......... 1% 22.3M 6s 3850K .......... .......... .......... .......... .......... 1% 18.3M 7s 3900K .......... .......... .......... .......... .......... 1% 15.9M 7s 3950K .......... .......... .......... .......... .......... 1% 16.3M 7s 4000K .......... .......... .......... .......... .......... 1% 20.1M 7s 4050K .......... .......... .......... .......... .......... 1% 33.7M 7s 4100K .......... .......... .......... .......... .......... 1% 37.6M 7s 4150K .......... .......... .......... .......... .......... 1% 20.5M 7s 4200K .......... .......... .......... .......... .......... 1% 33.2M 7s 4250K .......... .......... .......... .......... .......... 1% 33.0M 7s 4300K .......... .......... .......... .......... .......... 1% 71.5M 7s 4350K .......... .......... .......... .......... .......... 1% 34.5M 7s 4400K .......... .......... .......... .......... .......... 1% 34.5M 7s 4450K .......... .......... .......... .......... .......... 1% 12.7M 7s 4500K .......... .......... .......... .......... .......... 1% 69.8M 7s 4550K .......... .......... .......... .......... .......... 1% 85.8M 7s 4600K .......... .......... .......... .......... .......... 1% 74.2M 7s 4650K .......... .......... .......... .......... .......... 1% 92.0M 7s 4700K .......... .......... .......... .......... .......... 1% 53.8M 7s 4750K .......... .......... .......... .......... .......... 1% 51.9M 7s 4800K .......... .......... .......... .......... .......... 1% 44.7M 7s 4850K .......... .......... .......... .......... .......... 1% 24.2M 7s 4900K .......... .......... .......... .......... .......... 1% 37.1M 7s 4950K .......... .......... .......... .......... .......... 1% 20.4M 7s 5000K .......... .......... .......... .......... .......... 1% 31.0M 7s 5050K .......... .......... .......... .......... .......... 1% 28.7M 7s 5100K .......... .......... .......... .......... .......... 1% 27.7M 7s 5150K .......... .......... .......... .......... .......... 1% 42.5M 7s 5200K .......... .......... .......... .......... .......... 1% 19.2M 7s 5250K .......... .......... .......... .......... .......... 2% 45.6M 7s 5300K .......... .......... .......... .......... .......... 2% 61.7M 7s 5350K .......... .......... .......... .......... .......... 2% 34.5M 7s 5400K .......... .......... .......... .......... .......... 2% 34.1M 7s 5450K .......... .......... .......... .......... .......... 2% 35.0M 7s 5500K .......... .......... .......... .......... .......... 2% 58.1M 7s 5550K .......... .......... .......... .......... .......... 2% 44.1M 7s 5600K .......... .......... .......... .......... .......... 2% 49.1M 7s 5650K .......... .......... .......... .......... .......... 2% 32.6M 7s 5700K .......... .......... .......... .......... .......... 2% 77.4M 7s 5750K .......... .......... .......... .......... .......... 2% 29.4M 7s 5800K .......... .......... .......... .......... .......... 2% 40.8M 7s 5850K .......... .......... .......... .......... .......... 2% 42.3M 7s 5900K .......... .......... .......... .......... .......... 2% 40.7M 7s 5950K .......... .......... .......... .......... .......... 2% 74.5M 7s 6000K .......... .......... .......... .......... .......... 2% 32.9M 7s 6050K .......... .......... .......... .......... .......... 2% 19.7M 7s 6100K .......... .......... .......... .......... .......... 2% 77.3M 7s 6150K .......... .......... .......... .......... .......... 2% 81.5M 7s 6200K .......... .......... .......... .......... .......... 2% 44.8M 7s 6250K .......... .......... .......... .......... .......... 2% 21.4M 7s 6300K .......... .......... .......... .......... .......... 2% 31.5M 7s 6350K .......... .......... .......... .......... .......... 2% 13.5M 7s 6400K .......... .......... .......... .......... .......... 2% 23.9M 7s 6450K .......... .......... .......... .......... .......... 2% 22.3M 7s 6500K .......... .......... .......... .......... .......... 2% 39.8M 7s 6550K .......... .......... .......... .......... .......... 2% 35.5M 7s 6600K .......... .......... .......... .......... .......... 2% 55.1M 7s 6650K .......... .......... .......... .......... .......... 2% 33.6M 7s 6700K .......... .......... .......... .......... .......... 2% 29.8M 7s 6750K .......... .......... .......... .......... .......... 2% 29.6M 7s 6800K .......... .......... .......... .......... .......... 2% 39.8M 7s 6850K .......... .......... .......... .......... .......... 2% 31.7M 7s 6900K .......... .......... .......... .......... .......... 2% 56.8M 7s 6950K .......... .......... .......... .......... .......... 2% 32.1M 7s 7000K .......... .......... .......... .......... .......... 2% 35.1M 7s 7050K .......... .......... .......... .......... .......... 2% 30.6M 7s 7100K .......... .......... .......... .......... .......... 2% 55.7M 7s 7150K .......... .......... .......... .......... .......... 2% 26.0M 7s 7200K .......... .......... .......... .......... .......... 2% 26.5M 7s 7250K .......... .......... .......... .......... .......... 2% 54.6M 7s 7300K .......... .......... .......... .......... .......... 2% 25.8M 7s 7350K .......... .......... .......... .......... .......... 2% 23.2M 7s 7400K .......... .......... .......... .......... .......... 2% 55.4M 7s 7450K .......... .......... .......... .......... .......... 2% 7.67M 7s 7500K .......... .......... .......... .......... .......... 2% 85.5M 7s 7550K .......... .......... .......... .......... .......... 2% 101M 7s 7600K .......... .......... .......... .......... .......... 2% 28.1M 7s 7650K .......... .......... .......... .......... .......... 2% 42.1M 7s 7700K .......... .......... .......... .......... .......... 2% 30.9M 7s 7750K .......... .......... .......... .......... .......... 2% 71.7M 7s 7800K .......... .......... .......... .......... .......... 2% 36.8M 7s 7850K .......... .......... .......... .......... .......... 2% 30.7M 7s 7900K .......... .......... .......... .......... .......... 3% 38.8M 7s 7950K .......... .......... .......... .......... .......... 3% 60.9M 7s 8000K .......... .......... .......... .......... .......... 3% 34.4M 7s 8050K .......... .......... .......... .......... .......... 3% 34.2M 7s 8100K .......... .......... .......... .......... .......... 3% 35.9M 7s 8150K .......... .......... .......... .......... .......... 3% 33.6M 7s 8200K .......... .......... .......... .......... .......... 3% 84.9M 7s 8250K .......... .......... .......... .......... .......... 3% 43.8M 7s 8300K .......... .......... .......... .......... .......... 3% 40.9M 7s 8350K .......... .......... .......... .......... .......... 3% 71.1M 7s 8400K .......... .......... .......... .......... .......... 3% 26.9M 7s 8450K .......... .......... .......... .......... .......... 3% 30.1M 7s 8500K .......... .......... .......... .......... .......... 3% 30.2M 7s 8550K .......... .......... .......... .......... .......... 3% 35.7M 7s 8600K .......... .......... .......... .......... .......... 3% 30.4M 7s 8650K .......... .......... .......... .......... .......... 3% 23.1M 7s 8700K .......... .......... .......... .......... .......... 3% 14.4M 7s 8750K .......... .......... .......... .......... .......... 3% 20.3M 7s 8800K .......... .......... .......... .......... .......... 3% 32.8M 7s 8850K .......... .......... .......... .......... .......... 3% 29.5M 7s 8900K .......... .......... .......... .......... .......... 3% 44.5M 7s 8950K .......... .......... .......... .......... .......... 3% 32.9M 7s 9000K .......... .......... .......... .......... .......... 3% 80.4M 7s 9050K .......... .......... .......... .......... .......... 3% 29.5M 7s 9100K .......... .......... .......... .......... .......... 3% 41.6M 7s 9150K .......... .......... .......... .......... .......... 3% 55.0M 7s 9200K .......... .......... .......... .......... .......... 3% 782K 9s 9250K .......... .......... .......... .......... .......... 3% 86.9M 9s 9300K .......... .......... .......... .......... .......... 3% 97.7M 9s 9350K .......... .......... .......... .......... .......... 3% 78.8M 9s 9400K .......... .......... .......... .......... .......... 3% 104M 9s 9450K .......... .......... .......... .......... .......... 3% 74.1M 9s 9500K .......... .......... .......... .......... .......... 3% 104M 9s 9550K .......... .......... .......... .......... .......... 3% 75.9M 9s 9600K .......... .......... .......... .......... .......... 3% 73.2M 9s 9650K .......... .......... .......... .......... .......... 3% 33.2M 9s 9700K .......... .......... .......... .......... .......... 3% 79.5M 9s 9750K .......... .......... .......... .......... .......... 3% 102M 9s 9800K .......... .......... .......... .......... .......... 3% 14.5M 9s 9850K .......... .......... .......... .......... .......... 3% 71.4M 9s 9900K .......... .......... .......... .......... .......... 3% 23.8M 9s 9950K .......... .......... .......... .......... .......... 3% 34.7M 9s 10000K .......... .......... .......... .......... .......... 3% 32.0M 9s 10050K .......... .......... .......... .......... .......... 3% 19.5M 9s 10100K .......... .......... .......... .......... .......... 3% 23.2M 9s 10150K .......... .......... .......... .......... .......... 3% 19.3M 9s 10200K .......... .......... .......... .......... .......... 3% 243K 14s 10250K .......... .......... .......... .......... .......... 3% 109M 14s 10300K .......... .......... .......... .......... .......... 3% 101M 13s 10350K .......... .......... .......... .......... .......... 3% 82.5M 13s 10400K .......... .......... .......... .......... .......... 3% 77.5M 13s 10450K .......... .......... .......... .......... .......... 3% 82.3M 13s 10500K .......... .......... .......... .......... .......... 4% 23.5M 13s 10550K .......... .......... .......... .......... .......... 4% 63.0M 13s 10600K .......... .......... .......... .......... .......... 4% 22.5M 13s 10650K .......... .......... .......... .......... .......... 4% 37.7M 13s 10700K .......... .......... .......... .......... .......... 4% 21.3M 13s 10750K .......... .......... .......... .......... .......... 4% 35.0M 13s 10800K .......... .......... .......... .......... .......... 4% 27.1M 13s 10850K .......... .......... .......... .......... .......... 4% 31.0M 13s 10900K .......... .......... .......... .......... .......... 4% 58.4M 13s 10950K .......... .......... .......... .......... .......... 4% 14.2M 13s 11000K .......... .......... .......... .......... .......... 4% 94.6M 13s 11050K .......... .......... .......... .......... .......... 4% 45.3M 13s 11100K .......... .......... .......... .......... .......... 4% 28.9M 13s 11150K .......... .......... .......... .......... .......... 4% 36.9M 13s 11200K .......... .......... .......... .......... .......... 4% 41.3M 13s 11250K .......... .......... .......... .......... .......... 4% 49.0M 13s 11300K .......... .......... .......... .......... .......... 4% 45.6M 13s 11350K .......... .......... .......... .......... .......... 4% 35.6M 13s 11400K .......... .......... .......... .......... .......... 4% 41.5M 13s 11450K .......... .......... .......... .......... .......... 4% 35.3M 13s 11500K .......... .......... .......... .......... .......... 4% 50.2M 13s 11550K .......... .......... .......... .......... .......... 4% 38.3M 13s 11600K .......... .......... .......... .......... .......... 4% 37.9M 13s 11650K .......... .......... .......... .......... .......... 4% 42.1M 13s 11700K .......... .......... .......... .......... .......... 4% 32.8M 13s 11750K .......... .......... .......... .......... .......... 4% 38.2M 13s 11800K .......... .......... .......... .......... .......... 4% 51.5M 13s 11850K .......... .......... .......... .......... .......... 4% 40.8M 13s 11900K .......... .......... .......... .......... .......... 4% 55.8M 12s 11950K .......... .......... .......... .......... .......... 4% 32.6M 12s 12000K .......... .......... .......... .......... .......... 4% 48.1M 12s 12050K .......... .......... .......... .......... .......... 4% 48.2M 12s 12100K .......... .......... .......... .......... .......... 4% 32.5M 12s 12150K .......... .......... .......... .......... .......... 4% 46.3M 12s 12200K .......... .......... .......... .......... .......... 4% 33.7M 12s 12250K .......... .......... .......... .......... .......... 4% 225K 17s 12300K .......... .......... .......... .......... .......... 4% 109M 17s 12350K .......... .......... .......... .......... .......... 4% 93.4M 17s 12400K .......... .......... .......... .......... .......... 4% 73.1M 17s 12450K .......... .......... .......... .......... .......... 4% 89.3M 17s 12500K .......... .......... .......... .......... .......... 4% 110M 17s 12550K .......... .......... .......... .......... .......... 4% 107M 16s 12600K .......... .......... .......... .......... .......... 4% 13.8M 16s 12650K .......... .......... .......... .......... .......... 4% 120M 16s 12700K .......... .......... .......... .......... .......... 4% 70.1M 16s 12750K .......... .......... .......... .......... .......... 4% 26.2M 16s 12800K .......... .......... .......... .......... .......... 4% 132M 16s 12850K .......... .......... .......... .......... .......... 4% 39.1M 16s 12900K .......... .......... .......... .......... .......... 4% 34.3M 16s 12950K .......... .......... .......... .......... .......... 4% 42.1M 16s 13000K .......... .......... .......... .......... .......... 4% 38.0M 16s 13050K .......... .......... .......... .......... .......... 4% 35.6M 16s 13100K .......... .......... .......... .......... .......... 4% 32.0M 16s 13150K .......... .......... .......... .......... .......... 5% 44.0M 16s 13200K .......... .......... .......... .......... .......... 5% 35.5M 16s 13250K .......... .......... .......... .......... .......... 5% 38.2M 16s 13300K .......... .......... .......... .......... .......... 5% 638K 17s 13350K .......... .......... .......... .......... .......... 5% 76.4M 17s 13400K .......... .......... .......... .......... .......... 5% 115M 17s 13450K .......... .......... .......... .......... .......... 5% 89.4M 17s 13500K .......... .......... .......... .......... .......... 5% 79.5M 17s 13550K .......... .......... .......... .......... .......... 5% 75.0M 17s 13600K .......... .......... .......... .......... .......... 5% 92.3M 17s 13650K .......... .......... .......... .......... .......... 5% 94.3M 17s 13700K .......... .......... .......... .......... .......... 5% 84.9M 17s 13750K .......... .......... .......... .......... .......... 5% 86.9M 17s 13800K .......... .......... .......... .......... .......... 5% 86.3M 17s 13850K .......... .......... .......... .......... .......... 5% 76.1M 17s 13900K .......... .......... .......... .......... .......... 5% 74.5M 17s 13950K .......... .......... .......... .......... .......... 5% 72.9M 17s 14000K .......... .......... .......... .......... .......... 5% 78.9M 17s 14050K .......... .......... .......... .......... .......... 5% 31.5M 17s 14100K .......... .......... .......... .......... .......... 5% 77.8M 16s 14150K .......... .......... .......... .......... .......... 5% 35.6M 16s 14200K .......... .......... .......... .......... .......... 5% 37.6M 16s 14250K .......... .......... .......... .......... .......... 5% 41.7M 16s 14300K .......... .......... .......... .......... .......... 5% 76.8M 16s 14350K .......... .......... .......... .......... .......... 5% 44.2M 16s 14400K .......... .......... .......... .......... .......... 5% 89.8M 16s 14450K .......... .......... .......... .......... .......... 5% 34.2M 16s 14500K .......... .......... .......... .......... .......... 5% 35.9M 16s 14550K .......... .......... .......... .......... .......... 5% 69.6M 16s 14600K .......... .......... .......... .......... .......... 5% 35.8M 16s 14650K .......... .......... .......... .......... .......... 5% 37.6M 16s 14700K .......... .......... .......... .......... .......... 5% 52.7M 16s 14750K .......... .......... .......... .......... .......... 5% 37.4M 16s 14800K .......... .......... .......... .......... .......... 5% 99.0M 16s 14850K .......... .......... .......... .......... .......... 5% 24.7M 16s 14900K .......... .......... .......... .......... .......... 5% 10.4M 16s 14950K .......... .......... .......... .......... .......... 5% 91.1M 16s 15000K .......... .......... .......... .......... .......... 5% 81.8M 16s 15050K .......... .......... .......... .......... .......... 5% 58.9M 16s 15100K .......... .......... .......... .......... .......... 5% 33.3M 16s 15150K .......... .......... .......... .......... .......... 5% 52.8M 16s 15200K .......... .......... .......... .......... .......... 5% 69.0M 16s 15250K .......... .......... .......... .......... .......... 5% 40.8M 16s 15300K .......... .......... .......... .......... .......... 5% 53.4M 16s 15350K .......... .......... .......... .......... .......... 5% 197K 20s 15400K .......... .......... .......... .......... .......... 5% 129M 20s 15450K .......... .......... .......... .......... .......... 5% 114M 19s 15500K .......... .......... .......... .......... .......... 5% 141M 19s 15550K .......... .......... .......... .......... .......... 5% 122M 19s 15600K .......... .......... .......... .......... .......... 5% 74.1M 19s 15650K .......... .......... .......... .......... .......... 5% 62.3M 19s 15700K .......... .......... .......... .......... .......... 5% 65.9M 19s 15750K .......... .......... .......... .......... .......... 5% 82.8M 19s 15800K .......... .......... .......... .......... .......... 6% 59.4M 19s 15850K .......... .......... .......... .......... .......... 6% 36.2M 19s 15900K .......... .......... .......... .......... .......... 6% 61.2M 19s 15950K .......... .......... .......... .......... .......... 6% 48.3M 19s 16000K .......... .......... .......... .......... .......... 6% 50.1M 19s 16050K .......... .......... .......... .......... .......... 6% 63.2M 19s 16100K .......... .......... .......... .......... .......... 6% 66.0M 19s 16150K .......... .......... .......... .......... .......... 6% 67.5M 19s 16200K .......... .......... .......... .......... .......... 6% 37.6M 19s 16250K .......... .......... .......... .......... .......... 6% 28.4M 19s 16300K .......... .......... .......... .......... .......... 6% 24.2M 19s 16350K .......... .......... .......... .......... .......... 6% 1.38M 19s 16400K .......... .......... .......... .......... .......... 6% 117M 19s 16450K .......... .......... .......... .......... .......... 6% 115M 19s 16500K .......... .......... .......... .......... .......... 6% 129M 19s 16550K .......... .......... .......... .......... .......... 6% 117M 19s 16600K .......... .......... .......... .......... .......... 6% 40.8M 19s 16650K .......... .......... .......... .......... .......... 6% 21.2M 19s 16700K .......... .......... .......... .......... .......... 6% 30.4M 19s 16750K .......... .......... .......... .......... .......... 6% 16.9M 19s 16800K .......... .......... .......... .......... .......... 6% 23.6M 19s 16850K .......... .......... .......... .......... .......... 6% 32.3M 19s 16900K .......... .......... .......... .......... .......... 6% 42.3M 19s 16950K .......... .......... .......... .......... .......... 6% 29.3M 19s 17000K .......... .......... .......... .......... .......... 6% 83.2M 19s 17050K .......... .......... .......... .......... .......... 6% 28.4M 19s 17100K .......... .......... .......... .......... .......... 6% 27.4M 19s 17150K .......... .......... .......... .......... .......... 6% 41.7M 18s 17200K .......... .......... .......... .......... .......... 6% 22.4M 18s 17250K .......... .......... .......... .......... .......... 6% 21.7M 18s 17300K .......... .......... .......... .......... .......... 6% 72.9M 18s 17350K .......... .......... .......... .......... .......... 6% 26.8M 18s 17400K .......... .......... .......... .......... .......... 6% 33.9M 18s 17450K .......... .......... .......... .......... .......... 6% 55.2M 18s 17500K .......... .......... .......... .......... .......... 6% 18.3M 18s 17550K .......... .......... .......... .......... .......... 6% 26.4M 18s 17600K .......... .......... .......... .......... .......... 6% 61.3M 18s 17650K .......... .......... .......... .......... .......... 6% 25.8M 18s 17700K .......... .......... .......... .......... .......... 6% 19.5M 18s 17750K .......... .......... .......... .......... .......... 6% 54.2M 18s 17800K .......... .......... .......... .......... .......... 6% 16.4M 18s 17850K .......... .......... .......... .......... .......... 6% 49.8M 18s 17900K .......... .......... .......... .......... .......... 6% 48.4M 18s 17950K .......... .......... .......... .......... .......... 6% 31.6M 18s 18000K .......... .......... .......... .......... .......... 6% 107M 18s 18050K .......... .......... .......... .......... .......... 6% 52.9M 18s 18100K .......... .......... .......... .......... .......... 6% 73.0M 18s 18150K .......... .......... .......... .......... .......... 6% 75.9M 18s 18200K .......... .......... .......... .......... .......... 6% 52.4M 18s 18250K .......... .......... .......... .......... .......... 6% 69.3M 18s 18300K .......... .......... .......... .......... .......... 6% 54.6M 18s 18350K .......... .......... .......... .......... .......... 6% 56.8M 18s 18400K .......... .......... .......... .......... .......... 6% 256K 20s 18450K .......... .......... .......... .......... .......... 7% 125M 20s 18500K .......... .......... .......... .......... .......... 7% 128M 20s 18550K .......... .......... .......... .......... .......... 7% 109M 20s 18600K .......... .......... .......... .......... .......... 7% 89.6M 20s 18650K .......... .......... .......... .......... .......... 7% 118M 20s 18700K .......... .......... .......... .......... .......... 7% 29.5M 20s 18750K .......... .......... .......... .......... .......... 7% 36.5M 20s 18800K .......... .......... .......... .......... .......... 7% 66.3M 20s 18850K .......... .......... .......... .......... .......... 7% 59.5M 20s 18900K .......... .......... .......... .......... .......... 7% 63.2M 20s 18950K .......... .......... .......... .......... .......... 7% 81.1M 20s 19000K .......... .......... .......... .......... .......... 7% 61.7M 20s 19050K .......... .......... .......... .......... .......... 7% 90.9M 20s 19100K .......... .......... .......... .......... .......... 7% 43.9M 20s 19150K .......... .......... .......... .......... .......... 7% 71.8M 19s 19200K .......... .......... .......... .......... .......... 7% 45.8M 19s 19250K .......... .......... .......... .......... .......... 7% 47.8M 19s 19300K .......... .......... .......... .......... .......... 7% 68.4M 19s 19350K .......... .......... .......... .......... .......... 7% 38.2M 19s 19400K .......... .......... .......... .......... .......... 7% 18.6M 19s 19450K .......... .......... .......... .......... .......... 7% 360K 21s 19500K .......... .......... .......... .......... .......... 7% 132M 21s 19550K .......... .......... .......... .......... .......... 7% 133M 21s 19600K .......... .......... .......... .......... .......... 7% 109M 21s 19650K .......... .......... .......... .......... .......... 7% 131M 21s 19700K .......... .......... .......... .......... .......... 7% 86.1M 21s 19750K .......... .......... .......... .......... .......... 7% 42.3M 21s 19800K .......... .......... .......... .......... .......... 7% 85.2M 21s 19850K .......... .......... .......... .......... .......... 7% 62.0M 21s 19900K .......... .......... .......... .......... .......... 7% 84.9M 21s 19950K .......... .......... .......... .......... .......... 7% 78.3M 20s 20000K .......... .......... .......... .......... .......... 7% 73.2M 20s 20050K .......... .......... .......... .......... .......... 7% 51.3M 20s 20100K .......... .......... .......... .......... .......... 7% 67.9M 20s 20150K .......... .......... .......... .......... .......... 7% 87.0M 20s 20200K .......... .......... .......... .......... .......... 7% 74.2M 20s 20250K .......... .......... .......... .......... .......... 7% 52.6M 20s 20300K .......... .......... .......... .......... .......... 7% 63.8M 20s 20350K .......... .......... .......... .......... .......... 7% 84.0M 20s 20400K .......... .......... .......... .......... .......... 7% 71.0M 20s 20450K .......... .......... .......... .......... .......... 7% 74.8M 20s 20500K .......... .......... .......... .......... .......... 7% 41.5M 20s 20550K .......... .......... .......... .......... .......... 7% 42.9M 20s 20600K .......... .......... .......... .......... .......... 7% 41.2M 20s 20650K .......... .......... .......... .......... .......... 7% 40.4M 20s 20700K .......... .......... .......... .......... .......... 7% 44.6M 20s 20750K .......... .......... .......... .......... .......... 7% 57.6M 20s 20800K .......... .......... .......... .......... .......... 7% 44.6M 20s 20850K .......... .......... .......... .......... .......... 7% 28.6M 20s 20900K .......... .......... .......... .......... .......... 7% 40.6M 20s 20950K .......... .......... .......... .......... .......... 7% 30.3M 20s 21000K .......... .......... .......... .......... .......... 7% 36.8M 20s 21050K .......... .......... .......... .......... .......... 8% 46.2M 20s 21100K .......... .......... .......... .......... .......... 8% 47.7M 20s 21150K .......... .......... .......... .......... .......... 8% 58.3M 19s 21200K .......... .......... .......... .......... .......... 8% 88.9M 19s 21250K .......... .......... .......... .......... .......... 8% 46.6M 19s 21300K .......... .......... .......... .......... .......... 8% 83.8M 19s 21350K .......... .......... .......... .......... .......... 8% 78.1M 19s 21400K .......... .......... .......... .......... .......... 8% 98.2M 19s 21450K .......... .......... .......... .......... .......... 8% 35.1M 19s 21500K .......... .......... .......... .......... .......... 8% 354K 21s 21550K .......... .......... .......... .......... .......... 8% 170M 21s 21600K .......... .......... .......... .......... .......... 8% 128M 21s 21650K .......... .......... .......... .......... .......... 8% 157M 21s 21700K .......... .......... .......... .......... .......... 8% 140M 21s 21750K .......... .......... .......... .......... .......... 8% 153M 21s 21800K .......... .......... .......... .......... .......... 8% 168M 20s 21850K .......... .......... .......... .......... .......... 8% 115M 20s 21900K .......... .......... .......... .......... .......... 8% 66.0M 20s 21950K .......... .......... .......... .......... .......... 8% 37.5M 20s 22000K .......... .......... .......... .......... .......... 8% 57.1M 20s 22050K .......... .......... .......... .......... .......... 8% 75.7M 20s 22100K .......... .......... .......... .......... .......... 8% 37.0M 20s 22150K .......... .......... .......... .......... .......... 8% 69.2M 20s 22200K .......... .......... .......... .......... .......... 8% 59.9M 20s 22250K .......... .......... .......... .......... .......... 8% 43.7M 20s 22300K .......... .......... .......... .......... .......... 8% 6.22M 20s 22350K .......... .......... .......... .......... .......... 8% 97.7M 20s 22400K .......... .......... .......... .......... .......... 8% 85.5M 20s 22450K .......... .......... .......... .......... .......... 8% 129M 20s 22500K .......... .......... .......... .......... .......... 8% 29.3M 20s 22550K .......... .......... .......... .......... .......... 8% 41.0M 20s 22600K .......... .......... .......... .......... .......... 8% 18.2M 20s 22650K .......... .......... .......... .......... .......... 8% 18.9M 20s 22700K .......... .......... .......... .......... .......... 8% 17.6M 20s 22750K .......... .......... .......... .......... .......... 8% 72.0M 20s 22800K .......... .......... .......... .......... .......... 8% 43.8M 20s 22850K .......... .......... .......... .......... .......... 8% 65.3M 20s 22900K .......... .......... .......... .......... .......... 8% 20.6M 20s 22950K .......... .......... .......... .......... .......... 8% 19.9M 20s 23000K .......... .......... .......... .......... .......... 8% 47.0M 20s 23050K .......... .......... .......... .......... .......... 8% 21.9M 20s 23100K .......... .......... .......... .......... .......... 8% 23.0M 20s 23150K .......... .......... .......... .......... .......... 8% 31.1M 20s 23200K .......... .......... .......... .......... .......... 8% 47.9M 20s 23250K .......... .......... .......... .......... .......... 8% 28.9M 20s 23300K .......... .......... .......... .......... .......... 8% 36.5M 20s 23350K .......... .......... .......... .......... .......... 8% 29.5M 20s 23400K .......... .......... .......... .......... .......... 8% 39.4M 19s 23450K .......... .......... .......... .......... .......... 8% 37.2M 19s 23500K .......... .......... .......... .......... .......... 8% 113M 19s 23550K .......... .......... .......... .......... .......... 8% 135K 23s 23600K .......... .......... .......... .......... .......... 8% 119M 23s 23650K .......... .......... .......... .......... .......... 8% 118M 23s 23700K .......... .......... .......... .......... .......... 9% 195M 23s 23750K .......... .......... .......... .......... .......... 9% 159M 23s 23800K .......... .......... .......... .......... .......... 9% 88.5M 23s 23850K .......... .......... .......... .......... .......... 9% 50.0M 23s 23900K .......... .......... .......... .......... .......... 9% 84.5M 23s 23950K .......... .......... .......... .......... .......... 9% 58.7M 23s 24000K .......... .......... .......... .......... .......... 9% 73.1M 23s 24050K .......... .......... .......... .......... .......... 9% 86.7M 23s 24100K .......... .......... .......... .......... .......... 9% 50.5M 23s 24150K .......... .......... .......... .......... .......... 9% 46.9M 23s 24200K .......... .......... .......... .......... .......... 9% 36.0M 23s 24250K .......... .......... .......... .......... .......... 9% 52.3M 22s 24300K .......... .......... .......... .......... .......... 9% 53.4M 22s 24350K .......... .......... .......... .......... .......... 9% 17.1M 22s 24400K .......... .......... .......... .......... .......... 9% 34.4M 22s 24450K .......... .......... .......... .......... .......... 9% 18.0M 22s 24500K .......... .......... .......... .......... .......... 9% 79.3M 22s 24550K .......... .......... .......... .......... .......... 9% 39.6M 22s 24600K .......... .......... .......... .......... .......... 9% 19.6M 22s 24650K .......... .......... .......... .......... .......... 9% 78.0M 22s 24700K .......... .......... .......... .......... .......... 9% 14.5M 22s 24750K .......... .......... .......... .......... .......... 9% 63.8M 22s 24800K .......... .......... .......... .......... .......... 9% 13.4M 22s 24850K .......... .......... .......... .......... .......... 9% 39.8M 22s 24900K .......... .......... .......... .......... .......... 9% 21.9M 22s 24950K .......... .......... .......... .......... .......... 9% 79.8M 22s 25000K .......... .......... .......... .......... .......... 9% 32.9M 22s 25050K .......... .......... .......... .......... .......... 9% 64.0M 22s 25100K .......... .......... .......... .......... .......... 9% 91.4M 22s 25150K .......... .......... .......... .......... .......... 9% 28.5M 22s 25200K .......... .......... .......... .......... .......... 9% 78.6M 22s 25250K .......... .......... .......... .......... .......... 9% 28.1M 22s 25300K .......... .......... .......... .......... .......... 9% 71.7M 22s 25350K .......... .......... .......... .......... .......... 9% 20.7M 22s 25400K .......... .......... .......... .......... .......... 9% 82.2M 22s 25450K .......... .......... .......... .......... .......... 9% 19.6M 22s 25500K .......... .......... .......... .......... .......... 9% 55.9M 22s 25550K .......... .......... .......... .......... .......... 9% 15.7M 22s 25600K .......... .......... .......... .......... .......... 9% 287K 23s 25650K .......... .......... .......... .......... .......... 9% 111M 23s 25700K .......... .......... .......... .......... .......... 9% 125M 23s 25750K .......... .......... .......... .......... .......... 9% 198M 23s 25800K .......... .......... .......... .......... .......... 9% 205M 23s 25850K .......... .......... .......... .......... .......... 9% 20.7M 23s 25900K .......... .......... .......... .......... .......... 9% 47.4M 23s 25950K .......... .......... .......... .......... .......... 9% 28.3M 23s 26000K .......... .......... .......... .......... .......... 9% 17.7M 23s 26050K .......... .......... .......... .......... .......... 9% 23.1M 23s 26100K .......... .......... .......... .......... .......... 9% 21.9M 23s 26150K .......... .......... .......... .......... .......... 9% 26.3M 23s 26200K .......... .......... .......... .......... .......... 9% 28.5M 23s 26250K .......... .......... .......... .......... .......... 9% 33.9M 23s 26300K .......... .......... .......... .......... .......... 9% 37.8M 23s 26350K .......... .......... .......... .......... .......... 10% 82.3M 23s 26400K .......... .......... .......... .......... .......... 10% 31.1M 23s 26450K .......... .......... .......... .......... .......... 10% 45.5M 23s 26500K .......... .......... .......... .......... .......... 10% 39.3M 23s 26550K .......... .......... .......... .......... .......... 10% 23.1M 23s 26600K .......... .......... .......... .......... .......... 10% 507K 23s 26650K .......... .......... .......... .......... .......... 10% 149M 23s 26700K .......... .......... .......... .......... .......... 10% 129M 23s 26750K .......... .......... .......... .......... .......... 10% 122M 23s 26800K .......... .......... .......... .......... .......... 10% 140M 23s 26850K .......... .......... .......... .......... .......... 10% 107M 23s 26900K .......... .......... .......... .......... .......... 10% 118M 23s 26950K .......... .......... .......... .......... .......... 10% 108M 23s 27000K .......... .......... .......... .......... .......... 10% 49.1M 23s 27050K .......... .......... .......... .......... .......... 10% 69.9M 23s 27100K .......... .......... .......... .......... .......... 10% 49.8M 23s 27150K .......... .......... .......... .......... .......... 10% 81.2M 23s 27200K .......... .......... .......... .......... .......... 10% 42.1M 23s 27250K .......... .......... .......... .......... .......... 10% 54.3M 23s 27300K .......... .......... .......... .......... .......... 10% 83.0M 23s 27350K .......... .......... .......... .......... .......... 10% 73.3M 23s 27400K .......... .......... .......... .......... .......... 10% 85.2M 23s 27450K .......... .......... .......... .......... .......... 10% 75.1M 23s 27500K .......... .......... .......... .......... .......... 10% 63.8M 23s 27550K .......... .......... .......... .......... .......... 10% 108M 23s 27600K .......... .......... .......... .......... .......... 10% 87.5M 23s 27650K .......... .......... .......... .......... .......... 10% 89.9M 22s 27700K .......... .......... .......... .......... .......... 10% 91.6M 22s 27750K .......... .......... .......... .......... .......... 10% 95.0M 22s 27800K .......... .......... .......... .......... .......... 10% 59.8M 22s 27850K .......... .......... .......... .......... .......... 10% 89.8M 22s 27900K .......... .......... .......... .......... .......... 10% 61.7M 22s 27950K .......... .......... .......... .......... .......... 10% 27.8M 22s 28000K .......... .......... .......... .......... .......... 10% 29.0M 22s 28050K .......... .......... .......... .......... .......... 10% 40.1M 22s 28100K .......... .......... .......... .......... .......... 10% 28.7M 22s 28150K .......... .......... .......... .......... .......... 10% 42.2M 22s 28200K .......... .......... .......... .......... .......... 10% 33.4M 22s 28250K .......... .......... .......... .......... .......... 10% 60.6M 22s 28300K .......... .......... .......... .......... .......... 10% 51.4M 22s 28350K .......... .......... .......... .......... .......... 10% 42.4M 22s 28400K .......... .......... .......... .......... .......... 10% 42.1M 22s 28450K .......... .......... .......... .......... .......... 10% 41.0M 22s 28500K .......... .......... .......... .......... .......... 10% 39.7M 22s 28550K .......... .......... .......... .......... .......... 10% 81.8M 22s 28600K .......... .......... .......... .......... .......... 10% 56.1M 22s 28650K .......... .......... .......... .......... .......... 10% 281K 23s 28700K .......... .......... .......... .......... .......... 10% 171M 23s 28750K .......... .......... .......... .......... .......... 10% 158M 23s 28800K .......... .......... .......... .......... .......... 10% 162M 23s 28850K .......... .......... .......... .......... .......... 10% 171M 23s 28900K .......... .......... .......... .......... .......... 10% 216M 23s 28950K .......... .......... .......... .......... .......... 10% 122M 23s 29000K .......... .......... .......... .......... .......... 11% 60.7M 23s 29050K .......... .......... .......... .......... .......... 11% 36.7M 23s 29100K .......... .......... .......... .......... .......... 11% 39.3M 23s 29150K .......... .......... .......... .......... .......... 11% 55.3M 23s 29200K .......... .......... .......... .......... .......... 11% 53.2M 23s 29250K .......... .......... .......... .......... .......... 11% 62.8M 23s 29300K .......... .......... .......... .......... .......... 11% 32.9M 23s 29350K .......... .......... .......... .......... .......... 11% 10.5M 23s 29400K .......... .......... .......... .......... .......... 11% 126M 23s 29450K .......... .......... .......... .......... .......... 11% 91.4M 23s 29500K .......... .......... .......... .......... .......... 11% 149M 23s 29550K .......... .......... .......... .......... .......... 11% 35.9M 23s 29600K .......... .......... .......... .......... .......... 11% 13.9M 23s 29650K .......... .......... .......... .......... .......... 11% 95.4M 22s 29700K .......... .......... .......... .......... .......... 11% 27.6M 22s 29750K .......... .......... .......... .......... .......... 11% 52.8M 22s 29800K .......... .......... .......... .......... .......... 11% 21.1M 22s 29850K .......... .......... .......... .......... .......... 11% 15.8M 22s 29900K .......... .......... .......... .......... .......... 11% 33.0M 22s 29950K .......... .......... .......... .......... .......... 11% 18.1M 22s 30000K .......... .......... .......... .......... .......... 11% 32.5M 22s 30050K .......... .......... .......... .......... .......... 11% 21.7M 22s 30100K .......... .......... .......... .......... .......... 11% 43.5M 22s 30150K .......... .......... .......... .......... .......... 11% 57.9M 22s 30200K .......... .......... .......... .......... .......... 11% 24.5M 22s 30250K .......... .......... .......... .......... .......... 11% 29.6M 22s 30300K .......... .......... .......... .......... .......... 11% 17.3M 22s 30350K .......... .......... .......... .......... .......... 11% 39.3M 22s 30400K .......... .......... .......... .......... .......... 11% 14.1M 22s 30450K .......... .......... .......... .......... .......... 11% 18.6M 22s 30500K .......... .......... .......... .......... .......... 11% 63.7M 22s 30550K .......... .......... .......... .......... .......... 11% 18.1M 22s 30600K .......... .......... .......... .......... .......... 11% 33.1M 22s 30650K .......... .......... .......... .......... .......... 11% 54.1M 22s 30700K .......... .......... .......... .......... .......... 11% 315K 23s 30750K .......... .......... .......... .......... .......... 11% 213M 23s 30800K .......... .......... .......... .......... .......... 11% 122M 23s 30850K .......... .......... .......... .......... .......... 11% 125M 23s 30900K .......... .......... .......... .......... .......... 11% 125M 23s 30950K .......... .......... .......... .......... .......... 11% 83.6M 23s 31000K .......... .......... .......... .......... .......... 11% 148M 23s 31050K .......... .......... .......... .......... .......... 11% 125M 23s 31100K .......... .......... .......... .......... .......... 11% 113M 23s 31150K .......... .......... .......... .......... .......... 11% 85.8M 23s 31200K .......... .......... .......... .......... .......... 11% 48.7M 23s 31250K .......... .......... .......... .......... .......... 11% 45.0M 23s 31300K .......... .......... .......... .......... .......... 11% 51.0M 23s 31350K .......... .......... .......... .......... .......... 11% 25.3M 23s 31400K .......... .......... .......... .......... .......... 11% 52.5M 23s 31450K .......... .......... .......... .......... .......... 11% 35.3M 23s 31500K .......... .......... .......... .......... .......... 11% 39.4M 23s 31550K .......... .......... .......... .......... .......... 11% 42.0M 23s 31600K .......... .......... .......... .......... .......... 12% 39.1M 22s 31650K .......... .......... .......... .......... .......... 12% 82.1M 22s 31700K .......... .......... .......... .......... .......... 12% 263K 24s 31750K .......... .......... .......... .......... .......... 12% 144M 24s 31800K .......... .......... .......... .......... .......... 12% 210M 24s 31850K .......... .......... .......... .......... .......... 12% 87.2M 24s 31900K .......... .......... .......... .......... .......... 12% 139M 24s 31950K .......... .......... .......... .......... .......... 12% 142M 24s 32000K .......... .......... .......... .......... .......... 12% 173M 24s 32050K .......... .......... .......... .......... .......... 12% 97.5M 24s 32100K .......... .......... .......... .......... .......... 12% 63.7M 23s 32150K .......... .......... .......... .......... .......... 12% 58.2M 23s 32200K .......... .......... .......... .......... .......... 12% 45.6M 23s 32250K .......... .......... .......... .......... .......... 12% 85.7M 23s 32300K .......... .......... .......... .......... .......... 12% 69.6M 23s 32350K .......... .......... .......... .......... .......... 12% 32.6M 23s 32400K .......... .......... .......... .......... .......... 12% 54.3M 23s 32450K .......... .......... .......... .......... .......... 12% 32.9M 23s 32500K .......... .......... .......... .......... .......... 12% 55.8M 23s 32550K .......... .......... .......... .......... .......... 12% 42.3M 23s 32600K .......... .......... .......... .......... .......... 12% 46.8M 23s 32650K .......... .......... .......... .......... .......... 12% 22.5M 23s 32700K .......... .......... .......... .......... .......... 12% 88.3M 23s 32750K .......... .......... .......... .......... .......... 12% 12.9M 23s 32800K .......... .......... .......... .......... .......... 12% 52.6M 23s 32850K .......... .......... .......... .......... .......... 12% 12.9M 23s 32900K .......... .......... .......... .......... .......... 12% 49.1M 23s 32950K .......... .......... .......... .......... .......... 12% 26.1M 23s 33000K .......... .......... .......... .......... .......... 12% 57.6M 23s 33050K .......... .......... .......... .......... .......... 12% 18.1M 23s 33100K .......... .......... .......... .......... .......... 12% 79.8M 23s 33150K .......... .......... .......... .......... .......... 12% 13.9M 23s 33200K .......... .......... .......... .......... .......... 12% 44.1M 23s 33250K .......... .......... .......... .......... .......... 12% 51.9M 23s 33300K .......... .......... .......... .......... .......... 12% 29.3M 23s 33350K .......... .......... .......... .......... .......... 12% 49.3M 23s 33400K .......... .......... .......... .......... .......... 12% 28.6M 23s 33450K .......... .......... .......... .......... .......... 12% 55.4M 23s 33500K .......... .......... .......... .......... .......... 12% 30.9M 23s 33550K .......... .......... .......... .......... .......... 12% 57.8M 23s 33600K .......... .......... .......... .......... .......... 12% 29.2M 23s 33650K .......... .......... .......... .......... .......... 12% 82.1M 23s 33700K .......... .......... .......... .......... .......... 12% 65.6M 23s 33750K .......... .......... .......... .......... .......... 12% 249K 24s 33800K .......... .......... .......... .......... .......... 12% 138M 24s 33850K .......... .......... .......... .......... .......... 12% 136M 24s 33900K .......... .......... .......... .......... .......... 12% 161M 24s 33950K .......... .......... .......... .......... .......... 12% 141M 24s 34000K .......... .......... .......... .......... .......... 12% 124M 24s 34050K .......... .......... .......... .......... .......... 12% 165M 24s 34100K .......... .......... .......... .......... .......... 12% 138M 24s 34150K .......... .......... .......... .......... .......... 12% 99.0M 24s 34200K .......... .......... .......... .......... .......... 12% 61.2M 24s 34250K .......... .......... .......... .......... .......... 13% 124M 23s 34300K .......... .......... .......... .......... .......... 13% 54.1M 23s 34350K .......... .......... .......... .......... .......... 13% 49.6M 23s 34400K .......... .......... .......... .......... .......... 13% 47.9M 23s 34450K .......... .......... .......... .......... .......... 13% 52.2M 23s 34500K .......... .......... .......... .......... .......... 13% 50.5M 23s 34550K .......... .......... .......... .......... .......... 13% 56.0M 23s 34600K .......... .......... .......... .......... .......... 13% 50.7M 23s 34650K .......... .......... .......... .......... .......... 13% 79.5M 23s 34700K .......... .......... .......... .......... .......... 13% 47.3M 23s 34750K .......... .......... .......... .......... .......... 13% 59.6M 23s 34800K .......... .......... .......... .......... .......... 13% 202K 25s 34850K .......... .......... .......... .......... .......... 13% 106M 25s 34900K .......... .......... .......... .......... .......... 13% 133M 25s 34950K .......... .......... .......... .......... .......... 13% 119M 25s 35000K .......... .......... .......... .......... .......... 13% 130M 25s 35050K .......... .......... .......... .......... .......... 13% 125M 25s 35100K .......... .......... .......... .......... .......... 13% 6.05M 25s 35150K .......... .......... .......... .......... .......... 13% 147M 25s 35200K .......... .......... .......... .......... .......... 13% 93.0M 24s 35250K .......... .......... .......... .......... .......... 13% 157M 24s 35300K .......... .......... .......... .......... .......... 13% 84.3M 24s 35350K .......... .......... .......... .......... .......... 13% 48.6M 24s 35400K .......... .......... .......... .......... .......... 13% 26.1M 24s 35450K .......... .......... .......... .......... .......... 13% 30.5M 24s 35500K .......... .......... .......... .......... .......... 13% 47.4M 24s 35550K .......... .......... .......... .......... .......... 13% 141M 24s 35600K .......... .......... .......... .......... .......... 13% 44.8M 24s 35650K .......... .......... .......... .......... .......... 13% 21.4M 24s 35700K .......... .......... .......... .......... .......... 13% 92.8M 24s 35750K .......... .......... .......... .......... .......... 13% 151M 24s 35800K .......... .......... .......... .......... .......... 13% 59.8M 24s 35850K .......... .......... .......... .......... .......... 13% 38.2M 24s 35900K .......... .......... .......... .......... .......... 13% 42.6M 24s 35950K .......... .......... .......... .......... .......... 13% 42.5M 24s 36000K .......... .......... .......... .......... .......... 13% 47.0M 24s 36050K .......... .......... .......... .......... .......... 13% 46.2M 24s 36100K .......... .......... .......... .......... .......... 13% 52.3M 24s 36150K .......... .......... .......... .......... .......... 13% 50.9M 24s 36200K .......... .......... .......... .......... .......... 13% 42.6M 24s 36250K .......... .......... .......... .......... .......... 13% 89.1M 24s 36300K .......... .......... .......... .......... .......... 13% 51.9M 24s 36350K .......... .......... .......... .......... .......... 13% 36.0M 24s 36400K .......... .......... .......... .......... .......... 13% 37.0M 24s 36450K .......... .......... .......... .......... .......... 13% 37.3M 24s 36500K .......... .......... .......... .......... .......... 13% 32.9M 24s 36550K .......... .......... .......... .......... .......... 13% 37.6M 24s 36600K .......... .......... .......... .......... .......... 13% 45.2M 24s 36650K .......... .......... .......... .......... .......... 13% 60.8M 24s 36700K .......... .......... .......... .......... .......... 13% 58.1M 24s 36750K .......... .......... .......... .......... .......... 13% 51.0M 23s 36800K .......... .......... .......... .......... .......... 13% 38.8M 23s 36850K .......... .......... .......... .......... .......... 13% 435K 24s 36900K .......... .......... .......... .......... .......... 14% 132M 24s 36950K .......... .......... .......... .......... .......... 14% 152M 24s 37000K .......... .......... .......... .......... .......... 14% 147M 24s 37050K .......... .......... .......... .......... .......... 14% 99.9M 24s 37100K .......... .......... .......... .......... .......... 14% 93.2M 24s 37150K .......... .......... .......... .......... .......... 14% 117M 24s 37200K .......... .......... .......... .......... .......... 14% 113M 24s 37250K .......... .......... .......... .......... .......... 14% 130M 24s 37300K .......... .......... .......... .......... .......... 14% 48.9M 24s 37350K .......... .......... .......... .......... .......... 14% 38.0M 24s 37400K .......... .......... .......... .......... .......... 14% 95.9M 24s 37450K .......... .......... .......... .......... .......... 14% 80.0M 24s 37500K .......... .......... .......... .......... .......... 14% 133M 24s 37550K .......... .......... .......... .......... .......... 14% 54.7M 24s 37600K .......... .......... .......... .......... .......... 14% 66.3M 24s 37650K .......... .......... .......... .......... .......... 14% 89.4M 24s 37700K .......... .......... .......... .......... .......... 14% 56.8M 24s 37750K .......... .......... .......... .......... .......... 14% 96.3M 24s 37800K .......... .......... .......... .......... .......... 14% 76.5M 23s 37850K .......... .......... .......... .......... .......... 14% 94.6M 23s 37900K .......... .......... .......... .......... .......... 14% 56.8M 23s 37950K .......... .......... .......... .......... .......... 14% 82.8M 23s 38000K .......... .......... .......... .......... .......... 14% 106M 23s 38050K .......... .......... .......... .......... .......... 14% 73.6M 23s 38100K .......... .......... .......... .......... .......... 14% 80.7M 23s 38150K .......... .......... .......... .......... .......... 14% 84.6M 23s 38200K .......... .......... .......... .......... .......... 14% 48.7M 23s 38250K .......... .......... .......... .......... .......... 14% 75.8M 23s 38300K .......... .......... .......... .......... .......... 14% 105M 23s 38350K .......... .......... .......... .......... .......... 14% 42.9M 23s 38400K .......... .......... .......... .......... .......... 14% 90.6M 23s 38450K .......... .......... .......... .......... .......... 14% 79.4M 23s 38500K .......... .......... .......... .......... .......... 14% 58.8M 23s 38550K .......... .......... .......... .......... .......... 14% 71.8M 23s 38600K .......... .......... .......... .......... .......... 14% 65.4M 23s 38650K .......... .......... .......... .......... .......... 14% 60.0M 23s 38700K .......... .......... .......... .......... .......... 14% 45.5M 23s 38750K .......... .......... .......... .......... .......... 14% 72.1M 23s 38800K .......... .......... .......... .......... .......... 14% 68.6M 23s 38850K .......... .......... .......... .......... .......... 14% 21.6M 23s 38900K .......... .......... .......... .......... .......... 14% 176K 24s 38950K .......... .......... .......... .......... .......... 14% 90.6M 24s 39000K .......... .......... .......... .......... .......... 14% 114M 24s 39050K .......... .......... .......... .......... .......... 14% 114M 24s 39100K .......... .......... .......... .......... .......... 14% 103M 24s 39150K .......... .......... .......... .......... .......... 14% 168M 24s 39200K .......... .......... .......... .......... .......... 14% 133M 24s 39250K .......... .......... .......... .......... .......... 14% 109M 24s 39300K .......... .......... .......... .......... .......... 14% 70.3M 24s 39350K .......... .......... .......... .......... .......... 14% 44.7M 24s 39400K .......... .......... .......... .......... .......... 14% 106M 24s 39450K .......... .......... .......... .......... .......... 14% 40.4M 24s 39500K .......... .......... .......... .......... .......... 14% 86.7M 24s 39550K .......... .......... .......... .......... .......... 15% 59.8M 24s 39600K .......... .......... .......... .......... .......... 15% 48.7M 24s 39650K .......... .......... .......... .......... .......... 15% 112M 24s 39700K .......... .......... .......... .......... .......... 15% 52.0M 24s 39750K .......... .......... .......... .......... .......... 15% 100M 24s 39800K .......... .......... .......... .......... .......... 15% 46.6M 24s 39850K .......... .......... .......... .......... .......... 15% 90.8M 24s 39900K .......... .......... .......... .......... .......... 15% 221K 25s 39950K .......... .......... .......... .......... .......... 15% 162M 25s 40000K .......... .......... .......... .......... .......... 15% 107M 25s 40050K .......... .......... .......... .......... .......... 15% 110M 25s 40100K .......... .......... .......... .......... .......... 15% 79.9M 25s 40150K .......... .......... .......... .......... .......... 15% 184M 25s 40200K .......... .......... .......... .......... .......... 15% 114M 25s 40250K .......... .......... .......... .......... .......... 15% 218M 25s 40300K .......... .......... .......... .......... .......... 15% 32.8M 25s 40350K .......... .......... .......... .......... .......... 15% 106M 25s 40400K .......... .......... .......... .......... .......... 15% 143M 25s 40450K .......... .......... .......... .......... .......... 15% 174M 25s 40500K .......... .......... .......... .......... .......... 15% 84.2M 25s 40550K .......... .......... .......... .......... .......... 15% 50.5M 25s 40600K .......... .......... .......... .......... .......... 15% 59.4M 25s 40650K .......... .......... .......... .......... .......... 15% 55.0M 25s 40700K .......... .......... .......... .......... .......... 15% 45.5M 25s 40750K .......... .......... .......... .......... .......... 15% 35.3M 25s 40800K .......... .......... .......... .......... .......... 15% 34.5M 24s 40850K .......... .......... .......... .......... .......... 15% 62.7M 24s 40900K .......... .......... .......... .......... .......... 15% 35.1M 24s 40950K .......... .......... .......... .......... .......... 15% 38.2M 24s 41000K .......... .......... .......... .......... .......... 15% 44.3M 24s 41050K .......... .......... .......... .......... .......... 15% 91.6M 24s 41100K .......... .......... .......... .......... .......... 15% 34.1M 24s 41150K .......... .......... .......... .......... .......... 15% 37.6M 24s 41200K .......... .......... .......... .......... .......... 15% 33.7M 24s 41250K .......... .......... .......... .......... .......... 15% 79.1M 24s 41300K .......... .......... .......... .......... .......... 15% 43.6M 24s 41350K .......... .......... .......... .......... .......... 15% 37.0M 24s 41400K .......... .......... .......... .......... .......... 15% 75.4M 24s 41450K .......... .......... .......... .......... .......... 15% 40.7M 24s 41500K .......... .......... .......... .......... .......... 15% 21.5M 24s 41550K .......... .......... .......... .......... .......... 15% 176M 24s 41600K .......... .......... .......... .......... .......... 15% 91.6M 24s 41650K .......... .......... .......... .......... .......... 15% 44.0M 24s 41700K .......... .......... .......... .......... .......... 15% 26.4M 24s 41750K .......... .......... .......... .......... .......... 15% 41.2M 24s 41800K .......... .......... .......... .......... .......... 15% 38.6M 24s 41850K .......... .......... .......... .......... .......... 15% 30.9M 24s 41900K .......... .......... .......... .......... .......... 15% 37.6M 24s 41950K .......... .......... .......... .......... .......... 15% 179K 25s 42000K .......... .......... .......... .......... .......... 15% 143M 25s 42050K .......... .......... .......... .......... .......... 15% 136M 25s 42100K .......... .......... .......... .......... .......... 15% 124M 25s 42150K .......... .......... .......... .......... .......... 16% 134M 25s 42200K .......... .......... .......... .......... .......... 16% 146M 25s 42250K .......... .......... .......... .......... .......... 16% 117M 25s 42300K .......... .......... .......... .......... .......... 16% 101M 25s 42350K .......... .......... .......... .......... .......... 16% 48.6M 25s 42400K .......... .......... .......... .......... .......... 16% 39.2M 25s 42450K .......... .......... .......... .......... .......... 16% 46.5M 25s 42500K .......... .......... .......... .......... .......... 16% 39.6M 25s 42550K .......... .......... .......... .......... .......... 16% 41.7M 25s 42600K .......... .......... .......... .......... .......... 16% 48.4M 25s 42650K .......... .......... .......... .......... .......... 16% 59.3M 25s 42700K .......... .......... .......... .......... .......... 16% 37.9M 25s 42750K .......... .......... .......... .......... .......... 16% 66.0M 25s 42800K .......... .......... .......... .......... .......... 16% 72.9M 25s 42850K .......... .......... .......... .......... .......... 16% 48.0M 25s 42900K .......... .......... .......... .......... .......... 16% 42.5M 25s 42950K .......... .......... .......... .......... .......... 16% 70.2M 25s 43000K .......... .......... .......... .......... .......... 16% 163K 26s 43050K .......... .......... .......... .......... .......... 16% 253M 26s 43100K .......... .......... .......... .......... .......... 16% 115M 26s 43150K .......... .......... .......... .......... .......... 16% 125M 26s 43200K .......... .......... .......... .......... .......... 16% 140M 26s 43250K .......... .......... .......... .......... .......... 16% 112M 26s 43300K .......... .......... .......... .......... .......... 16% 127M 26s 43350K .......... .......... .......... .......... .......... 16% 49.9M 26s 43400K .......... .......... .......... .......... .......... 16% 93.7M 26s 43450K .......... .......... .......... .......... .......... 16% 57.4M 26s 43500K .......... .......... .......... .......... .......... 16% 74.3M 26s 43550K .......... .......... .......... .......... .......... 16% 55.7M 26s 43600K .......... .......... .......... .......... .......... 16% 37.8M 26s 43650K .......... .......... .......... .......... .......... 16% 25.3M 26s 43700K .......... .......... .......... .......... .......... 16% 69.2M 26s 43750K .......... .......... .......... .......... .......... 16% 16.9M 26s 43800K .......... .......... .......... .......... .......... 16% 29.8M 26s 43850K .......... .......... .......... .......... .......... 16% 29.6M 26s 43900K .......... .......... .......... .......... .......... 16% 89.6M 26s 43950K .......... .......... .......... .......... .......... 16% 33.3M 26s 44000K .......... .......... .......... .......... .......... 16% 39.9M 26s 44050K .......... .......... .......... .......... .......... 16% 36.9M 26s 44100K .......... .......... .......... .......... .......... 16% 38.9M 26s 44150K .......... .......... .......... .......... .......... 16% 40.3M 26s 44200K .......... .......... .......... .......... .......... 16% 31.3M 25s 44250K .......... .......... .......... .......... .......... 16% 27.2M 25s 44300K .......... .......... .......... .......... .......... 16% 30.6M 25s 44350K .......... .......... .......... .......... .......... 16% 29.5M 25s 44400K .......... .......... .......... .......... .......... 16% 55.0M 25s 44450K .......... .......... .......... .......... .......... 16% 34.1M 25s 44500K .......... .......... .......... .......... .......... 16% 43.5M 25s 44550K .......... .......... .......... .......... .......... 16% 39.5M 25s 44600K .......... .......... .......... .......... .......... 16% 28.2M 25s 44650K .......... .......... .......... .......... .......... 16% 34.6M 25s 44700K .......... .......... .......... .......... .......... 16% 27.0M 25s 44750K .......... .......... .......... .......... .......... 16% 29.2M 25s 44800K .......... .......... .......... .......... .......... 17% 92.1M 25s 44850K .......... .......... .......... .......... .......... 17% 32.9M 25s 44900K .......... .......... .......... .......... .......... 17% 27.7M 25s 44950K .......... .......... .......... .......... .......... 17% 28.8M 25s 45000K .......... .......... .......... .......... .......... 17% 69.1M 25s 45050K .......... .......... .......... .......... .......... 17% 121K 27s 45100K .......... .......... .......... .......... .......... 17% 151M 27s 45150K .......... .......... .......... .......... .......... 17% 137M 27s 45200K .......... .......... .......... .......... .......... 17% 99.6M 27s 45250K .......... .......... .......... .......... .......... 17% 141M 27s 45300K .......... .......... .......... .......... .......... 17% 133M 27s 45350K .......... .......... .......... .......... .......... 17% 128M 27s 45400K .......... .......... .......... .......... .......... 17% 61.3M 27s 45450K .......... .......... .......... .......... .......... 17% 63.4M 27s 45500K .......... .......... .......... .......... .......... 17% 45.3M 27s 45550K .......... .......... .......... .......... .......... 17% 95.7M 27s 45600K .......... .......... .......... .......... .......... 17% 41.0M 27s 45650K .......... .......... .......... .......... .......... 17% 75.9M 27s 45700K .......... .......... .......... .......... .......... 17% 61.3M 27s 45750K .......... .......... .......... .......... .......... 17% 72.2M 27s 45800K .......... .......... .......... .......... .......... 17% 83.2M 27s 45850K .......... .......... .......... .......... .......... 17% 52.7M 27s 45900K .......... .......... .......... .......... .......... 17% 67.9M 26s 45950K .......... .......... .......... .......... .......... 17% 55.6M 26s 46000K .......... .......... .......... .......... .......... 17% 49.4M 26s 46050K .......... .......... .......... .......... .......... 17% 173K 28s 46100K .......... .......... .......... .......... .......... 17% 96.7M 28s 46150K .......... .......... .......... .......... .......... 17% 125M 28s 46200K .......... .......... .......... .......... .......... 17% 124M 28s 46250K .......... .......... .......... .......... .......... 17% 142M 28s 46300K .......... .......... .......... .......... .......... 17% 132M 28s 46350K .......... .......... .......... .......... .......... 17% 116M 28s 46400K .......... .......... .......... .......... .......... 17% 10.5M 28s 46450K .......... .......... .......... .......... .......... 17% 113M 27s 46500K .......... .......... .......... .......... .......... 17% 47.8M 27s 46550K .......... .......... .......... .......... .......... 17% 53.7M 27s 46600K .......... .......... .......... .......... .......... 17% 17.4M 27s 46650K .......... .......... .......... .......... .......... 17% 64.8M 27s 46700K .......... .......... .......... .......... .......... 17% 27.7M 27s 46750K .......... .......... .......... .......... .......... 17% 26.2M 27s 46800K .......... .......... .......... .......... .......... 17% 33.5M 27s 46850K .......... .......... .......... .......... .......... 17% 36.3M 27s 46900K .......... .......... .......... .......... .......... 17% 50.5M 27s 46950K .......... .......... .......... .......... .......... 17% 43.6M 27s 47000K .......... .......... .......... .......... .......... 17% 47.7M 27s 47050K .......... .......... .......... .......... .......... 17% 43.5M 27s 47100K .......... .......... .......... .......... .......... 17% 23.4M 27s 47150K .......... .......... .......... .......... .......... 17% 118M 27s 47200K .......... .......... .......... .......... .......... 17% 68.8M 27s 47250K .......... .......... .......... .......... .......... 17% 31.2M 27s 47300K .......... .......... .......... .......... .......... 17% 35.1M 27s 47350K .......... .......... .......... .......... .......... 17% 23.6M 27s 47400K .......... .......... .......... .......... .......... 17% 36.1M 27s 47450K .......... .......... .......... .......... .......... 18% 30.9M 27s 47500K .......... .......... .......... .......... .......... 18% 28.0M 27s 47550K .......... .......... .......... .......... .......... 18% 38.5M 27s 47600K .......... .......... .......... .......... .......... 18% 28.8M 27s 47650K .......... .......... .......... .......... .......... 18% 25.6M 27s 47700K .......... .......... .......... .......... .......... 18% 23.0M 27s 47750K .......... .......... .......... .......... .......... 18% 26.1M 27s 47800K .......... .......... .......... .......... .......... 18% 37.6M 27s 47850K .......... .......... .......... .......... .......... 18% 24.6M 27s 47900K .......... .......... .......... .......... .......... 18% 22.7M 27s 47950K .......... .......... .......... .......... .......... 18% 22.7M 27s 48000K .......... .......... .......... .......... .......... 18% 46.7M 27s 48050K .......... .......... .......... .......... .......... 18% 30.0M 27s 48100K .......... .......... .......... .......... .......... 18% 147K 28s 48150K .......... .......... .......... .......... .......... 18% 149M 28s 48200K .......... .......... .......... .......... .......... 18% 126M 28s 48250K .......... .......... .......... .......... .......... 18% 123M 28s 48300K .......... .......... .......... .......... .......... 18% 135M 28s 48350K .......... .......... .......... .......... .......... 18% 102M 28s 48400K .......... .......... .......... .......... .......... 18% 163M 28s 48450K .......... .......... .......... .......... .......... 18% 51.0M 28s 48500K .......... .......... .......... .......... .......... 18% 12.9M 28s 48550K .......... .......... .......... .......... .......... 18% 105M 28s 48600K .......... .......... .......... .......... .......... 18% 132M 28s 48650K .......... .......... .......... .......... .......... 18% 132M 28s 48700K .......... .......... .......... .......... .......... 18% 123M 28s 48750K .......... .......... .......... .......... .......... 18% 55.8M 28s 48800K .......... .......... .......... .......... .......... 18% 63.7M 28s 48850K .......... .......... .......... .......... .......... 18% 50.1M 28s 48900K .......... .......... .......... .......... .......... 18% 41.9M 28s 48950K .......... .......... .......... .......... .......... 18% 41.8M 28s 49000K .......... .......... .......... .......... .......... 18% 46.9M 28s 49050K .......... .......... .......... .......... .......... 18% 44.0M 27s 49100K .......... .......... .......... .......... .......... 18% 83.3M 27s 49150K .......... .......... .......... .......... .......... 18% 228K 28s 49200K .......... .......... .......... .......... .......... 18% 116M 28s 49250K .......... .......... .......... .......... .......... 18% 103M 28s 49300K .......... .......... .......... .......... .......... 18% 125M 28s 49350K .......... .......... .......... .......... .......... 18% 134M 28s 49400K .......... .......... .......... .......... .......... 18% 137M 28s 49450K .......... .......... .......... .......... .......... 18% 57.9M 28s 49500K .......... .......... .......... .......... .......... 18% 121M 28s 49550K .......... .......... .......... .......... .......... 18% 36.7M 28s 49600K .......... .......... .......... .......... .......... 18% 63.3M 28s 49650K .......... .......... .......... .......... .......... 18% 50.0M 28s 49700K .......... .......... .......... .......... .......... 18% 59.8M 28s 49750K .......... .......... .......... .......... .......... 18% 67.7M 28s 49800K .......... .......... .......... .......... .......... 18% 29.0M 28s 49850K .......... .......... .......... .......... .......... 18% 77.3M 28s 49900K .......... .......... .......... .......... .......... 18% 35.1M 28s 49950K .......... .......... .......... .......... .......... 18% 39.3M 28s 50000K .......... .......... .......... .......... .......... 18% 75.7M 28s 50050K .......... .......... .......... .......... .......... 18% 44.2M 28s 50100K .......... .......... .......... .......... .......... 19% 85.8M 28s 50150K .......... .......... .......... .......... .......... 19% 45.7M 28s 50200K .......... .......... .......... .......... .......... 19% 58.6M 28s 50250K .......... .......... .......... .......... .......... 19% 23.6M 28s 50300K .......... .......... .......... .......... .......... 19% 54.8M 28s 50350K .......... .......... .......... .......... .......... 19% 33.3M 28s 50400K .......... .......... .......... .......... .......... 19% 43.5M 28s 50450K .......... .......... .......... .......... .......... 19% 33.2M 28s 50500K .......... .......... .......... .......... .......... 19% 50.1M 28s 50550K .......... .......... .......... .......... .......... 19% 48.9M 28s 50600K .......... .......... .......... .......... .......... 19% 52.1M 27s 50650K .......... .......... .......... .......... .......... 19% 54.7M 27s 50700K .......... .......... .......... .......... .......... 19% 90.6M 27s 50750K .......... .......... .......... .......... .......... 19% 70.6M 27s 50800K .......... .......... .......... .......... .......... 19% 64.0M 27s 50850K .......... .......... .......... .......... .......... 19% 35.1M 27s 50900K .......... .......... .......... .......... .......... 19% 51.7M 27s 50950K .......... .......... .......... .......... .......... 19% 50.4M 27s 51000K .......... .......... .......... .......... .......... 19% 66.7M 27s 51050K .......... .......... .......... .......... .......... 19% 105M 27s 51100K .......... .......... .......... .......... .......... 19% 47.9M 27s 51150K .......... .......... .......... .......... .......... 19% 48.5M 27s 51200K .......... .......... .......... .......... .......... 19% 187K 28s 51250K .......... .......... .......... .......... .......... 19% 113M 28s 51300K .......... .......... .......... .......... .......... 19% 129M 28s 51350K .......... .......... .......... .......... .......... 19% 112M 28s 51400K .......... .......... .......... .......... .......... 19% 101M 28s 51450K .......... .......... .......... .......... .......... 19% 93.5M 28s 51500K .......... .......... .......... .......... .......... 19% 31.9M 28s 51550K .......... .......... .......... .......... .......... 19% 99.0M 28s 51600K .......... .......... .......... .......... .......... 19% 33.4M 28s 51650K .......... .......... .......... .......... .......... 19% 36.2M 28s 51700K .......... .......... .......... .......... .......... 19% 42.3M 28s 51750K .......... .......... .......... .......... .......... 19% 60.8M 28s 51800K .......... .......... .......... .......... .......... 19% 47.5M 28s 51850K .......... .......... .......... .......... .......... 19% 57.4M 28s 51900K .......... .......... .......... .......... .......... 19% 69.8M 28s 51950K .......... .......... .......... .......... .......... 19% 57.9M 28s 52000K .......... .......... .......... .......... .......... 19% 47.9M 28s 52050K .......... .......... .......... .......... .......... 19% 49.9M 28s 52100K .......... .......... .......... .......... .......... 19% 33.9M 28s 52150K .......... .......... .......... .......... .......... 19% 50.3M 28s 52200K .......... .......... .......... .......... .......... 19% 317K 28s 52250K .......... .......... .......... .......... .......... 19% 113M 28s 52300K .......... .......... .......... .......... .......... 19% 124M 28s 52350K .......... .......... .......... .......... .......... 19% 130M 28s 52400K .......... .......... .......... .......... .......... 19% 156M 28s 52450K .......... .......... .......... .......... .......... 19% 103M 28s 52500K .......... .......... .......... .......... .......... 19% 66.1M 28s 52550K .......... .......... .......... .......... .......... 19% 52.4M 28s 52600K .......... .......... .......... .......... .......... 19% 29.5M 28s 52650K .......... .......... .......... .......... .......... 19% 103M 28s 52700K .......... .......... .......... .......... .......... 20% 27.6M 28s 52750K .......... .......... .......... .......... .......... 20% 49.6M 28s 52800K .......... .......... .......... .......... .......... 20% 33.8M 28s 52850K .......... .......... .......... .......... .......... 20% 54.7M 28s 52900K .......... .......... .......... .......... .......... 20% 36.9M 28s 52950K .......... .......... .......... .......... .......... 20% 60.6M 28s 53000K .......... .......... .......... .......... .......... 20% 98.0M 28s 53050K .......... .......... .......... .......... .......... 20% 40.1M 28s 53100K .......... .......... .......... .......... .......... 20% 38.1M 28s 53150K .......... .......... .......... .......... .......... 20% 31.5M 28s 53200K .......... .......... .......... .......... .......... 20% 2.14M 28s 53250K .......... .......... .......... .......... .......... 20% 122M 28s 53300K .......... .......... .......... .......... .......... 20% 141M 28s 53350K .......... .......... .......... .......... .......... 20% 105M 28s 53400K .......... .......... .......... .......... .......... 20% 149M 28s 53450K .......... .......... .......... .......... .......... 20% 109M 28s 53500K .......... .......... .......... .......... .......... 20% 112M 28s 53550K .......... .......... .......... .......... .......... 20% 128M 28s 53600K .......... .......... .......... .......... .......... 20% 13.2M 28s 53650K .......... .......... .......... .......... .......... 20% 129M 28s 53700K .......... .......... .......... .......... .......... 20% 123M 27s 53750K .......... .......... .......... .......... .......... 20% 110M 27s 53800K .......... .......... .......... .......... .......... 20% 161M 27s 53850K .......... .......... .......... .......... .......... 20% 56.3M 27s 53900K .......... .......... .......... .......... .......... 20% 52.4M 27s 53950K .......... .......... .......... .......... .......... 20% 56.6M 27s 54000K .......... .......... .......... .......... .......... 20% 51.0M 27s 54050K .......... .......... .......... .......... .......... 20% 70.2M 27s 54100K .......... .......... .......... .......... .......... 20% 44.6M 27s 54150K .......... .......... .......... .......... .......... 20% 121M 27s 54200K .......... .......... .......... .......... .......... 20% 45.1M 27s 54250K .......... .......... .......... .......... .......... 20% 923K 27s 54300K .......... .......... .......... .......... .......... 20% 248M 27s 54350K .......... .......... .......... .......... .......... 20% 158M 27s 54400K .......... .......... .......... .......... .......... 20% 126M 27s 54450K .......... .......... .......... .......... .......... 20% 136M 27s 54500K .......... .......... .......... .......... .......... 20% 117M 27s 54550K .......... .......... .......... .......... .......... 20% 170M 27s 54600K .......... .......... .......... .......... .......... 20% 91.4M 27s 54650K .......... .......... .......... .......... .......... 20% 73.2M 27s 54700K .......... .......... .......... .......... .......... 20% 55.3M 27s 54750K .......... .......... .......... .......... .......... 20% 115M 27s 54800K .......... .......... .......... .......... .......... 20% 44.7M 27s 54850K .......... .......... .......... .......... .......... 20% 56.1M 27s 54900K .......... .......... .......... .......... .......... 20% 70.1M 27s 54950K .......... .......... .......... .......... .......... 20% 52.3M 27s 55000K .......... .......... .......... .......... .......... 20% 64.6M 27s 55050K .......... .......... .......... .......... .......... 20% 50.9M 27s 55100K .......... .......... .......... .......... .......... 20% 60.4M 27s 55150K .......... .......... .......... .......... .......... 20% 78.5M 27s 55200K .......... .......... .......... .......... .......... 20% 45.6M 27s 55250K .......... .......... .......... .......... .......... 20% 192K 28s 55300K .......... .......... .......... .......... .......... 20% 119M 28s 55350K .......... .......... .......... .......... .......... 21% 116M 28s 55400K .......... .......... .......... .......... .......... 21% 166M 28s 55450K .......... .......... .......... .......... .......... 21% 102M 28s 55500K .......... .......... .......... .......... .......... 21% 130M 28s 55550K .......... .......... .......... .......... .......... 21% 104M 28s 55600K .......... .......... .......... .......... .......... 21% 19.4M 28s 55650K .......... .......... .......... .......... .......... 21% 62.7M 28s 55700K .......... .......... .......... .......... .......... 21% 23.0M 28s 55750K .......... .......... .......... .......... .......... 21% 57.4M 27s 55800K .......... .......... .......... .......... .......... 21% 25.9M 27s 55850K .......... .......... .......... .......... .......... 21% 63.9M 27s 55900K .......... .......... .......... .......... .......... 21% 31.5M 27s 55950K .......... .......... .......... .......... .......... 21% 51.8M 27s 56000K .......... .......... .......... .......... .......... 21% 28.9M 27s 56050K .......... .......... .......... .......... .......... 21% 84.6M 27s 56100K .......... .......... .......... .......... .......... 21% 43.2M 27s 56150K .......... .......... .......... .......... .......... 21% 40.9M 27s 56200K .......... .......... .......... .......... .......... 21% 48.6M 27s 56250K .......... .......... .......... .......... .......... 21% 95.0M 27s 56300K .......... .......... .......... .......... .......... 21% 58.6M 27s 56350K .......... .......... .......... .......... .......... 21% 83.9M 27s 56400K .......... .......... .......... .......... .......... 21% 91.4M 27s 56450K .......... .......... .......... .......... .......... 21% 68.3M 27s 56500K .......... .......... .......... .......... .......... 21% 59.8M 27s 56550K .......... .......... .......... .......... .......... 21% 84.3M 27s 56600K .......... .......... .......... .......... .......... 21% 67.6M 27s 56650K .......... .......... .......... .......... .......... 21% 69.6M 27s 56700K .......... .......... .......... .......... .......... 21% 97.1M 27s 56750K .......... .......... .......... .......... .......... 21% 66.9M 27s 56800K .......... .......... .......... .......... .......... 21% 66.3M 27s 56850K .......... .......... .......... .......... .......... 21% 67.3M 27s 56900K .......... .......... .......... .......... .......... 21% 73.8M 27s 56950K .......... .......... .......... .......... .......... 21% 54.4M 27s 57000K .......... .......... .......... .......... .......... 21% 89.6M 27s 57050K .......... .......... .......... .......... .......... 21% 80.4M 27s 57100K .......... .......... .......... .......... .......... 21% 65.6M 27s 57150K .......... .......... .......... .......... .......... 21% 92.8M 27s 57200K .......... .......... .......... .......... .......... 21% 61.4M 27s 57250K .......... .......... .......... .......... .......... 21% 83.3M 27s 57300K .......... .......... .......... .......... .......... 21% 71.5M 27s 57350K .......... .......... .......... .......... .......... 21% 186K 28s 57400K .......... .......... .......... .......... .......... 21% 239M 28s 57450K .......... .......... .......... .......... .......... 21% 131M 28s 57500K .......... .......... .......... .......... .......... 21% 141M 27s 57550K .......... .......... .......... .......... .......... 21% 132M 27s 57600K .......... .......... .......... .......... .......... 21% 117M 27s 57650K .......... .......... .......... .......... .......... 21% 93.2M 27s 57700K .......... .......... .......... .......... .......... 21% 31.2M 27s 57750K .......... .......... .......... .......... .......... 21% 38.0M 27s 57800K .......... .......... .......... .......... .......... 21% 11.8M 27s 57850K .......... .......... .......... .......... .......... 21% 134M 27s 57900K .......... .......... .......... .......... .......... 21% 131M 27s 57950K .......... .......... .......... .......... .......... 21% 166M 27s 58000K .......... .......... .......... .......... .......... 22% 161M 27s 58050K .......... .......... .......... .......... .......... 22% 144M 27s 58100K .......... .......... .......... .......... .......... 22% 36.7M 27s 58150K .......... .......... .......... .......... .......... 22% 88.1M 27s 58200K .......... .......... .......... .......... .......... 22% 36.8M 27s 58250K .......... .......... .......... .......... .......... 22% 82.9M 27s 58300K .......... .......... .......... .......... .......... 22% 14.4M 27s 58350K .......... .......... .......... .......... .......... 22% 204K 28s 58400K .......... .......... .......... .......... .......... 22% 139M 28s 58450K .......... .......... .......... .......... .......... 22% 103M 28s 58500K .......... .......... .......... .......... .......... 22% 122M 28s 58550K .......... .......... .......... .......... .......... 22% 142M 28s 58600K .......... .......... .......... .......... .......... 22% 104M 28s 58650K .......... .......... .......... .......... .......... 22% 228M 28s 58700K .......... .......... .......... .......... .......... 22% 104M 28s 58750K .......... .......... .......... .......... .......... 22% 53.4M 28s 58800K .......... .......... .......... .......... .......... 22% 52.5M 28s 58850K .......... .......... .......... .......... .......... 22% 36.3M 28s 58900K .......... .......... .......... .......... .......... 22% 58.1M 28s 58950K .......... .......... .......... .......... .......... 22% 37.6M 28s 59000K .......... .......... .......... .......... .......... 22% 46.4M 28s 59050K .......... .......... .......... .......... .......... 22% 25.7M 28s 59100K .......... .......... .......... .......... .......... 22% 43.8M 27s 59150K .......... .......... .......... .......... .......... 22% 44.1M 27s 59200K .......... .......... .......... .......... .......... 22% 45.8M 27s 59250K .......... .......... .......... .......... .......... 22% 38.4M 27s 59300K .......... .......... .......... .......... .......... 22% 68.0M 27s 59350K .......... .......... .......... .......... .......... 22% 25.6M 27s 59400K .......... .......... .......... .......... .......... 22% 34.1M 27s 59450K .......... .......... .......... .......... .......... 22% 33.8M 27s 59500K .......... .......... .......... .......... .......... 22% 66.6M 27s 59550K .......... .......... .......... .......... .......... 22% 35.5M 27s 59600K .......... .......... .......... .......... .......... 22% 89.4M 27s 59650K .......... .......... .......... .......... .......... 22% 121M 27s 59700K .......... .......... .......... .......... .......... 22% 42.1M 27s 59750K .......... .......... .......... .......... .......... 22% 90.1M 27s 59800K .......... .......... .......... .......... .......... 22% 46.8M 27s 59850K .......... .......... .......... .......... .......... 22% 90.6M 27s 59900K .......... .......... .......... .......... .......... 22% 17.1M 27s 59950K .......... .......... .......... .......... .......... 22% 106M 27s 60000K .......... .......... .......... .......... .......... 22% 76.2M 27s 60050K .......... .......... .......... .......... .......... 22% 55.5M 27s 60100K .......... .......... .......... .......... .......... 22% 60.7M 27s 60150K .......... .......... .......... .......... .......... 22% 164M 27s 60200K .......... .......... .......... .......... .......... 22% 49.1M 27s 60250K .......... .......... .......... .......... .......... 22% 38.7M 27s 60300K .......... .......... .......... .......... .......... 22% 49.3M 27s 60350K .......... .......... .......... .......... .......... 22% 51.0M 27s 60400K .......... .......... .......... .......... .......... 22% 189K 28s 60450K .......... .......... .......... .......... .......... 22% 126M 28s 60500K .......... .......... .......... .......... .......... 22% 138M 28s 60550K .......... .......... .......... .......... .......... 22% 119M 28s 60600K .......... .......... .......... .......... .......... 22% 123M 28s 60650K .......... .......... .......... .......... .......... 23% 137M 28s 60700K .......... .......... .......... .......... .......... 23% 67.6M 28s 60750K .......... .......... .......... .......... .......... 23% 48.8M 28s 60800K .......... .......... .......... .......... .......... 23% 40.5M 27s 60850K .......... .......... .......... .......... .......... 23% 34.8M 27s 60900K .......... .......... .......... .......... .......... 23% 36.7M 27s 60950K .......... .......... .......... .......... .......... 23% 46.1M 27s 61000K .......... .......... .......... .......... .......... 23% 109M 27s 61050K .......... .......... .......... .......... .......... 23% 38.7M 27s 61100K .......... .......... .......... .......... .......... 23% 48.8M 27s 61150K .......... .......... .......... .......... .......... 23% 39.8M 27s 61200K .......... .......... .......... .......... .......... 23% 102M 27s 61250K .......... .......... .......... .......... .......... 23% 30.9M 27s 61300K .......... .......... .......... .......... .......... 23% 42.4M 27s 61350K .......... .......... .......... .......... .......... 23% 38.0M 27s 61400K .......... .......... .......... .......... .......... 23% 169K 28s 61450K .......... .......... .......... .......... .......... 23% 112M 28s 61500K .......... .......... .......... .......... .......... 23% 100M 28s 61550K .......... .......... .......... .......... .......... 23% 112M 28s 61600K .......... .......... .......... .......... .......... 23% 90.9M 28s 61650K .......... .......... .......... .......... .......... 23% 127M 28s 61700K .......... .......... .......... .......... .......... 23% 41.3M 28s 61750K .......... .......... .......... .......... .......... 23% 15.0M 28s 61800K .......... .......... .......... .......... .......... 23% 46.2M 28s 61850K .......... .......... .......... .......... .......... 23% 51.4M 28s 61900K .......... .......... .......... .......... .......... 23% 37.8M 28s 61950K .......... .......... .......... .......... .......... 23% 30.8M 28s 62000K .......... .......... .......... .......... .......... 23% 71.4M 28s 62050K .......... .......... .......... .......... .......... 23% 40.9M 28s 62100K .......... .......... .......... .......... .......... 23% 69.7M 28s 62150K .......... .......... .......... .......... .......... 23% 28.1M 28s 62200K .......... .......... .......... .......... .......... 23% 37.4M 28s 62250K .......... .......... .......... .......... .......... 23% 60.4M 28s 62300K .......... .......... .......... .......... .......... 23% 37.2M 28s 62350K .......... .......... .......... .......... .......... 23% 33.8M 28s 62400K .......... .......... .......... .......... .......... 23% 64.0M 28s 62450K .......... .......... .......... .......... .......... 23% 56.1M 28s 62500K .......... .......... .......... .......... .......... 23% 84.5M 28s 62550K .......... .......... .......... .......... .......... 23% 82.9M 28s 62600K .......... .......... .......... .......... .......... 23% 50.8M 28s 62650K .......... .......... .......... .......... .......... 23% 79.0M 28s 62700K .......... .......... .......... .......... .......... 23% 55.5M 27s 62750K .......... .......... .......... .......... .......... 23% 102M 27s 62800K .......... .......... .......... .......... .......... 23% 65.3M 27s 62850K .......... .......... .......... .......... .......... 23% 50.4M 27s 62900K .......... .......... .......... .......... .......... 23% 62.0M 27s 62950K .......... .......... .......... .......... .......... 23% 56.4M 27s 63000K .......... .......... .......... .......... .......... 23% 102M 27s 63050K .......... .......... .......... .......... .......... 23% 60.2M 27s 63100K .......... .......... .......... .......... .......... 23% 62.2M 27s 63150K .......... .......... .......... .......... .......... 23% 61.4M 27s 63200K .......... .......... .......... .......... .......... 23% 65.1M 27s 63250K .......... .......... .......... .......... .......... 24% 71.2M 27s 63300K .......... .......... .......... .......... .......... 24% 45.0M 27s 63350K .......... .......... .......... .......... .......... 24% 70.4M 27s 63400K .......... .......... .......... .......... .......... 24% 61.7M 27s 63450K .......... .......... .......... .......... .......... 24% 181K 28s 63500K .......... .......... .......... .......... .......... 24% 247M 28s 63550K .......... .......... .......... .......... .......... 24% 118M 28s 63600K .......... .......... .......... .......... .......... 24% 110M 28s 63650K .......... .......... .......... .......... .......... 24% 138M 28s 63700K .......... .......... .......... .......... .......... 24% 126M 28s 63750K .......... .......... .......... .......... .......... 24% 148M 28s 63800K .......... .......... .......... .......... .......... 24% 55.9M 28s 63850K .......... .......... .......... .......... .......... 24% 45.8M 28s 63900K .......... .......... .......... .......... .......... 24% 49.4M 28s 63950K .......... .......... .......... .......... .......... 24% 47.6M 28s 64000K .......... .......... .......... .......... .......... 24% 53.8M 28s 64050K .......... .......... .......... .......... .......... 24% 50.5M 28s 64100K .......... .......... .......... .......... .......... 24% 54.1M 28s 64150K .......... .......... .......... .......... .......... 24% 118M 28s 64200K .......... .......... .......... .......... .......... 24% 18.1M 28s 64250K .......... .......... .......... .......... .......... 24% 144M 28s 64300K .......... .......... .......... .......... .......... 24% 131M 28s 64350K .......... .......... .......... .......... .......... 24% 121M 27s 64400K .......... .......... .......... .......... .......... 24% 128M 27s 64450K .......... .......... .......... .......... .......... 24% 99.0M 27s 64500K .......... .......... .......... .......... .......... 24% 182K 28s 64550K .......... .......... .......... .......... .......... 24% 132M 28s 64600K .......... .......... .......... .......... .......... 24% 132M 28s 64650K .......... .......... .......... .......... .......... 24% 138M 28s 64700K .......... .......... .......... .......... .......... 24% 111M 28s 64750K .......... .......... .......... .......... .......... 24% 146M 28s 64800K .......... .......... .......... .......... .......... 24% 116M 28s 64850K .......... .......... .......... .......... .......... 24% 41.2M 28s 64900K .......... .......... .......... .......... .......... 24% 46.0M 28s 64950K .......... .......... .......... .......... .......... 24% 65.5M 28s 65000K .......... .......... .......... .......... .......... 24% 110M 28s 65050K .......... .......... .......... .......... .......... 24% 72.2M 28s 65100K .......... .......... .......... .......... .......... 24% 56.3M 28s 65150K .......... .......... .......... .......... .......... 24% 121M 28s 65200K .......... .......... .......... .......... .......... 24% 48.3M 28s 65250K .......... .......... .......... .......... .......... 24% 87.8M 28s 65300K .......... .......... .......... .......... .......... 24% 114M 28s 65350K .......... .......... .......... .......... .......... 24% 69.2M 28s 65400K .......... .......... .......... .......... .......... 24% 78.0M 28s 65450K .......... .......... .......... .......... .......... 24% 61.3M 28s 65500K .......... .......... .......... .......... .......... 24% 3.59M 28s 65550K .......... .......... .......... .......... .......... 24% 166M 28s 65600K .......... .......... .......... .......... .......... 24% 94.4M 28s 65650K .......... .......... .......... .......... .......... 24% 131M 28s 65700K .......... .......... .......... .......... .......... 24% 110M 28s 65750K .......... .......... .......... .......... .......... 24% 108M 28s 65800K .......... .......... .......... .......... .......... 24% 167M 28s 65850K .......... .......... .......... .......... .......... 24% 131M 28s 65900K .......... .......... .......... .......... .......... 25% 147M 28s 65950K .......... .......... .......... .......... .......... 25% 120M 28s 66000K .......... .......... .......... .......... .......... 25% 71.0M 27s 66050K .......... .......... .......... .......... .......... 25% 125M 27s 66100K .......... .......... .......... .......... .......... 25% 50.5M 27s 66150K .......... .......... .......... .......... .......... 25% 84.6M 27s 66200K .......... .......... .......... .......... .......... 25% 50.9M 27s 66250K .......... .......... .......... .......... .......... 25% 59.4M 27s 66300K .......... .......... .......... .......... .......... 25% 44.6M 27s 66350K .......... .......... .......... .......... .......... 25% 41.2M 27s 66400K .......... .......... .......... .......... .......... 25% 31.2M 27s 66450K .......... .......... .......... .......... .......... 25% 31.0M 27s 66500K .......... .......... .......... .......... .......... 25% 31.6M 27s 66550K .......... .......... .......... .......... .......... 25% 235K 28s 66600K .......... .......... .......... .......... .......... 25% 117M 28s 66650K .......... .......... .......... .......... .......... 25% 108M 28s 66700K .......... .......... .......... .......... .......... 25% 115M 28s 66750K .......... .......... .......... .......... .......... 25% 144M 28s 66800K .......... .......... .......... .......... .......... 25% 154M 28s 66850K .......... .......... .......... .......... .......... 25% 24.1M 28s 66900K .......... .......... .......... .......... .......... 25% 46.5M 28s 66950K .......... .......... .......... .......... .......... 25% 27.3M 28s 67000K .......... .......... .......... .......... .......... 25% 99.0M 28s 67050K .......... .......... .......... .......... .......... 25% 27.9M 28s 67100K .......... .......... .......... .......... .......... 25% 65.8M 28s 67150K .......... .......... .......... .......... .......... 25% 68.3M 28s 67200K .......... .......... .......... .......... .......... 25% 28.5M 28s 67250K .......... .......... .......... .......... .......... 25% 73.5M 27s 67300K .......... .......... .......... .......... .......... 25% 28.8M 27s 67350K .......... .......... .......... .......... .......... 25% 74.5M 27s 67400K .......... .......... .......... .......... .......... 25% 102M 27s 67450K .......... .......... .......... .......... .......... 25% 37.3M 27s 67500K .......... .......... .......... .......... .......... 25% 110M 27s 67550K .......... .......... .......... .......... .......... 25% 43.9M 27s 67600K .......... .......... .......... .......... .......... 25% 84.3M 27s 67650K .......... .......... .......... .......... .......... 25% 82.2M 27s 67700K .......... .......... .......... .......... .......... 25% 12.0M 27s 67750K .......... .......... .......... .......... .......... 25% 113M 27s 67800K .......... .......... .......... .......... .......... 25% 113M 27s 67850K .......... .......... .......... .......... .......... 25% 36.8M 27s 67900K .......... .......... .......... .......... .......... 25% 161M 27s 67950K .......... .......... .......... .......... .......... 25% 105M 27s 68000K .......... .......... .......... .......... .......... 25% 23.0M 27s 68050K .......... .......... .......... .......... .......... 25% 32.3M 27s 68100K .......... .......... .......... .......... .......... 25% 19.3M 27s 68150K .......... .......... .......... .......... .......... 25% 20.7M 27s 68200K .......... .......... .......... .......... .......... 25% 53.7M 27s 68250K .......... .......... .......... .......... .......... 25% 33.4M 27s 68300K .......... .......... .......... .......... .......... 25% 129M 27s 68350K .......... .......... .......... .......... .......... 25% 45.0M 27s 68400K .......... .......... .......... .......... .......... 25% 48.4M 27s 68450K .......... .......... .......... .......... .......... 25% 63.2M 27s 68500K .......... .......... .......... .......... .......... 25% 34.5M 27s 68550K .......... .......... .......... .......... .......... 26% 74.8M 27s 68600K .......... .......... .......... .......... .......... 26% 445K 27s 68650K .......... .......... .......... .......... .......... 26% 145M 27s 68700K .......... .......... .......... .......... .......... 26% 142M 27s 68750K .......... .......... .......... .......... .......... 26% 99.9M 27s 68800K .......... .......... .......... .......... .......... 26% 143M 27s 68850K .......... .......... .......... .......... .......... 26% 114M 27s 68900K .......... .......... .......... .......... .......... 26% 131M 27s 68950K .......... .......... .......... .......... .......... 26% 104M 27s 69000K .......... .......... .......... .......... .......... 26% 79.4M 27s 69050K .......... .......... .......... .......... .......... 26% 80.2M 27s 69100K .......... .......... .......... .......... .......... 26% 56.8M 27s 69150K .......... .......... .......... .......... .......... 26% 80.6M 27s 69200K .......... .......... .......... .......... .......... 26% 75.8M 27s 69250K .......... .......... .......... .......... .......... 26% 66.3M 27s 69300K .......... .......... .......... .......... .......... 26% 81.9M 27s 69350K .......... .......... .......... .......... .......... 26% 49.1M 27s 69400K .......... .......... .......... .......... .......... 26% 100M 27s 69450K .......... .......... .......... .......... .......... 26% 33.1M 27s 69500K .......... .......... .......... .......... .......... 26% 143M 27s 69550K .......... .......... .......... .......... .......... 26% 209M 27s 69600K .......... .......... .......... .......... .......... 26% 2.84M 27s 69650K .......... .......... .......... .......... .......... 26% 211M 27s 69700K .......... .......... .......... .......... .......... 26% 132M 27s 69750K .......... .......... .......... .......... .......... 26% 143M 27s 69800K .......... .......... .......... .......... .......... 26% 153M 27s 69850K .......... .......... .......... .......... .......... 26% 100M 27s 69900K .......... .......... .......... .......... .......... 26% 158M 27s 69950K .......... .......... .......... .......... .......... 26% 112M 27s 70000K .......... .......... .......... .......... .......... 26% 126M 27s 70050K .......... .......... .......... .......... .......... 26% 99.2M 26s 70100K .......... .......... .......... .......... .......... 26% 62.2M 26s 70150K .......... .......... .......... .......... .......... 26% 63.7M 26s 70200K .......... .......... .......... .......... .......... 26% 76.1M 26s 70250K .......... .......... .......... .......... .......... 26% 68.3M 26s 70300K .......... .......... .......... .......... .......... 26% 79.3M 26s 70350K .......... .......... .......... .......... .......... 26% 76.9M 26s 70400K .......... .......... .......... .......... .......... 26% 63.3M 26s 70450K .......... .......... .......... .......... .......... 26% 74.9M 26s 70500K .......... .......... .......... .......... .......... 26% 65.1M 26s 70550K .......... .......... .......... .......... .......... 26% 98.8M 26s 70600K .......... .......... .......... .......... .......... 26% 102M 26s 70650K .......... .......... .......... .......... .......... 26% 75.4M 26s 70700K .......... .......... .......... .......... .......... 26% 61.0M 26s 70750K .......... .......... .......... .......... .......... 26% 68.4M 26s 70800K .......... .......... .......... .......... .......... 26% 103M 26s 70850K .......... .......... .......... .......... .......... 26% 61.6M 26s 70900K .......... .......... .......... .......... .......... 26% 77.1M 26s 70950K .......... .......... .......... .......... .......... 26% 46.2M 26s 71000K .......... .......... .......... .......... .......... 26% 72.3M 26s 71050K .......... .......... .......... .......... .......... 26% 92.1M 26s 71100K .......... .......... .......... .......... .......... 26% 44.5M 26s 71150K .......... .......... .......... .......... .......... 26% 87.8M 26s 71200K .......... .......... .......... .......... .......... 27% 93.0M 26s 71250K .......... .......... .......... .......... .......... 27% 65.1M 26s 71300K .......... .......... .......... .......... .......... 27% 131M 26s 71350K .......... .......... .......... .......... .......... 27% 78.6M 26s 71400K .......... .......... .......... .......... .......... 27% 56.6M 26s 71450K .......... .......... .......... .......... .......... 27% 75.7M 26s 71500K .......... .......... .......... .......... .......... 27% 65.3M 26s 71550K .......... .......... .......... .......... .......... 27% 61.0M 26s 71600K .......... .......... .......... .......... .......... 27% 71.1M 26s 71650K .......... .......... .......... .......... .......... 27% 119K 27s 71700K .......... .......... .......... .......... .......... 27% 105M 27s 71750K .......... .......... .......... .......... .......... 27% 229M 27s 71800K .......... .......... .......... .......... .......... 27% 127M 27s 71850K .......... .......... .......... .......... .......... 27% 79.7M 27s 71900K .......... .......... .......... .......... .......... 27% 184M 27s 71950K .......... .......... .......... .......... .......... 27% 144M 27s 72000K .......... .......... .......... .......... .......... 27% 62.2M 27s 72050K .......... .......... .......... .......... .......... 27% 73.6M 27s 72100K .......... .......... .......... .......... .......... 27% 70.6M 27s 72150K .......... .......... .......... .......... .......... 27% 47.7M 27s 72200K .......... .......... .......... .......... .......... 27% 34.8M 27s 72250K .......... .......... .......... .......... .......... 27% 34.0M 27s 72300K .......... .......... .......... .......... .......... 27% 41.2M 27s 72350K .......... .......... .......... .......... .......... 27% 33.5M 27s 72400K .......... .......... .......... .......... .......... 27% 36.1M 27s 72450K .......... .......... .......... .......... .......... 27% 66.2M 27s 72500K .......... .......... .......... .......... .......... 27% 31.0M 26s 72550K .......... .......... .......... .......... .......... 27% 145M 26s 72600K .......... .......... .......... .......... .......... 27% 84.2M 26s 72650K .......... .......... .......... .......... .......... 27% 62.4M 26s 72700K .......... .......... .......... .......... .......... 27% 162K 27s 72750K .......... .......... .......... .......... .......... 27% 140M 27s 72800K .......... .......... .......... .......... .......... 27% 128M 27s 72850K .......... .......... .......... .......... .......... 27% 78.8M 27s 72900K .......... .......... .......... .......... .......... 27% 112M 27s 72950K .......... .......... .......... .......... .......... 27% 76.4M 27s 73000K .......... .......... .......... .......... .......... 27% 93.2M 27s 73050K .......... .......... .......... .......... .......... 27% 166M 27s 73100K .......... .......... .......... .......... .......... 27% 36.3M 27s 73150K .......... .......... .......... .......... .......... 27% 38.0M 27s 73200K .......... .......... .......... .......... .......... 27% 35.7M 27s 73250K .......... .......... .......... .......... .......... 27% 83.6M 27s 73300K .......... .......... .......... .......... .......... 27% 36.8M 27s 73350K .......... .......... .......... .......... .......... 27% 65.5M 27s 73400K .......... .......... .......... .......... .......... 27% 86.0M 27s 73450K .......... .......... .......... .......... .......... 27% 28.3M 27s 73500K .......... .......... .......... .......... .......... 27% 103M 27s 73550K .......... .......... .......... .......... .......... 27% 29.9M 27s 73600K .......... .......... .......... .......... .......... 27% 62.5M 27s 73650K .......... .......... .......... .......... .......... 27% 31.1M 27s 73700K .......... .......... .......... .......... .......... 27% 29.8M 27s 73750K .......... .......... .......... .......... .......... 27% 90.4M 27s 73800K .......... .......... .......... .......... .......... 28% 74.2M 27s 73850K .......... .......... .......... .......... .......... 28% 31.0M 27s 73900K .......... .......... .......... .......... .......... 28% 64.0M 27s 73950K .......... .......... .......... .......... .......... 28% 25.7M 27s 74000K .......... .......... .......... .......... .......... 28% 69.1M 27s 74050K .......... .......... .......... .......... .......... 28% 26.1M 27s 74100K .......... .......... .......... .......... .......... 28% 46.9M 27s 74150K .......... .......... .......... .......... .......... 28% 29.5M 27s 74200K .......... .......... .......... .......... .......... 28% 55.5M 27s 74250K .......... .......... .......... .......... .......... 28% 57.3M 26s 74300K .......... .......... .......... .......... .......... 28% 53.4M 26s 74350K .......... .......... .......... .......... .......... 28% 44.2M 26s 74400K .......... .......... .......... .......... .......... 28% 95.4M 26s 74450K .......... .......... .......... .......... .......... 28% 50.9M 26s 74500K .......... .......... .......... .......... .......... 28% 60.1M 26s 74550K .......... .......... .......... .......... .......... 28% 46.5M 26s 74600K .......... .......... .......... .......... .......... 28% 49.0M 26s 74650K .......... .......... .......... .......... .......... 28% 49.4M 26s 74700K .......... .......... .......... .......... .......... 28% 37.1M 26s 74750K .......... .......... .......... .......... .......... 28% 196K 27s 74800K .......... .......... .......... .......... .......... 28% 126M 27s 74850K .......... .......... .......... .......... .......... 28% 105M 27s 74900K .......... .......... .......... .......... .......... 28% 110M 27s 74950K .......... .......... .......... .......... .......... 28% 95.0M 27s 75000K .......... .......... .......... .......... .......... 28% 82.6M 27s 75050K .......... .......... .......... .......... .......... 28% 158M 27s 75100K .......... .......... .......... .......... .......... 28% 16.3M 27s 75150K .......... .......... .......... .......... .......... 28% 87.2M 27s 75200K .......... .......... .......... .......... .......... 28% 95.4M 27s 75250K .......... .......... .......... .......... .......... 28% 110M 27s 75300K .......... .......... .......... .......... .......... 28% 73.6M 27s 75350K .......... .......... .......... .......... .......... 28% 36.7M 27s 75400K .......... .......... .......... .......... .......... 28% 56.1M 27s 75450K .......... .......... .......... .......... .......... 28% 38.6M 27s 75500K .......... .......... .......... .......... .......... 28% 85.4M 27s 75550K .......... .......... .......... .......... .......... 28% 42.6M 27s 75600K .......... .......... .......... .......... .......... 28% 17.3M 27s 75650K .......... .......... .......... .......... .......... 28% 111M 27s 75700K .......... .......... .......... .......... .......... 28% 60.6M 26s 75750K .......... .......... .......... .......... .......... 28% 13.2M 26s 75800K .......... .......... .......... .......... .......... 28% 53.5M 26s 75850K .......... .......... .......... .......... .......... 28% 27.0M 26s 75900K .......... .......... .......... .......... .......... 28% 24.8M 26s 75950K .......... .......... .......... .......... .......... 28% 22.9M 26s 76000K .......... .......... .......... .......... .......... 28% 18.5M 26s 76050K .......... .......... .......... .......... .......... 28% 34.5M 26s 76100K .......... .......... .......... .......... .......... 28% 49.4M 26s 76150K .......... .......... .......... .......... .......... 28% 74.5M 26s 76200K .......... .......... .......... .......... .......... 28% 50.7M 26s 76250K .......... .......... .......... .......... .......... 28% 56.5M 26s 76300K .......... .......... .......... .......... .......... 28% 50.2M 26s 76350K .......... .......... .......... .......... .......... 28% 44.6M 26s 76400K .......... .......... .......... .......... .......... 28% 41.8M 26s 76450K .......... .......... .......... .......... .......... 29% 51.1M 26s 76500K .......... .......... .......... .......... .......... 29% 65.7M 26s 76550K .......... .......... .......... .......... .......... 29% 48.7M 26s 76600K .......... .......... .......... .......... .......... 29% 50.3M 26s 76650K .......... .......... .......... .......... .......... 29% 53.5M 26s 76700K .......... .......... .......... .......... .......... 29% 45.6M 26s 76750K .......... .......... .......... .......... .......... 29% 56.3M 26s 76800K .......... .......... .......... .......... .......... 29% 275K 26s 76850K .......... .......... .......... .......... .......... 29% 155M 26s 76900K .......... .......... .......... .......... .......... 29% 127M 26s 76950K .......... .......... .......... .......... .......... 29% 129M 26s 77000K .......... .......... .......... .......... .......... 29% 167M 26s 77050K .......... .......... .......... .......... .......... 29% 103M 26s 77100K .......... .......... .......... .......... .......... 29% 98.0M 26s 77150K .......... .......... .......... .......... .......... 29% 160M 26s 77200K .......... .......... .......... .......... .......... 29% 57.2M 26s 77250K .......... .......... .......... .......... .......... 29% 47.4M 26s 77300K .......... .......... .......... .......... .......... 29% 74.9M 26s 77350K .......... .......... .......... .......... .......... 29% 64.1M 26s 77400K .......... .......... .......... .......... .......... 29% 53.9M 26s 77450K .......... .......... .......... .......... .......... 29% 37.7M 26s 77500K .......... .......... .......... .......... .......... 29% 68.0M 26s 77550K .......... .......... .......... .......... .......... 29% 70.6M 26s 77600K .......... .......... .......... .......... .......... 29% 61.5M 26s 77650K .......... .......... .......... .......... .......... 29% 48.2M 26s 77700K .......... .......... .......... .......... .......... 29% 48.3M 26s 77750K .......... .......... .......... .......... .......... 29% 70.2M 26s 77800K .......... .......... .......... .......... .......... 29% 67.3M 26s 77850K .......... .......... .......... .......... .......... 29% 41.5M 26s 77900K .......... .......... .......... .......... .......... 29% 39.3M 26s 77950K .......... .......... .......... .......... .......... 29% 63.9M 26s 78000K .......... .......... .......... .......... .......... 29% 45.8M 26s 78050K .......... .......... .......... .......... .......... 29% 93.5M 26s 78100K .......... .......... .......... .......... .......... 29% 43.7M 26s 78150K .......... .......... .......... .......... .......... 29% 66.9M 26s 78200K .......... .......... .......... .......... .......... 29% 67.5M 26s 78250K .......... .......... .......... .......... .......... 29% 119M 26s 78300K .......... .......... .......... .......... .......... 29% 97.7M 26s 78350K .......... .......... .......... .......... .......... 29% 88.3M 26s 78400K .......... .......... .......... .......... .......... 29% 88.3M 26s 78450K .......... .......... .......... .......... .......... 29% 77.5M 26s 78500K .......... .......... .......... .......... .......... 29% 133M 26s 78550K .......... .......... .......... .......... .......... 29% 74.9M 26s 78600K .......... .......... .......... .......... .......... 29% 82.1M 26s 78650K .......... .......... .......... .......... .......... 29% 75.6M 26s 78700K .......... .......... .......... .......... .......... 29% 83.8M 26s 78750K .......... .......... .......... .......... .......... 29% 104M 26s 78800K .......... .......... .......... .......... .......... 29% 81.0M 26s 78850K .......... .......... .......... .......... .......... 29% 238K 26s 78900K .......... .......... .......... .......... .......... 29% 158M 26s 78950K .......... .......... .......... .......... .......... 29% 111M 26s 79000K .......... .......... .......... .......... .......... 29% 116M 26s 79050K .......... .......... .......... .......... .......... 29% 170M 26s 79100K .......... .......... .......... .......... .......... 30% 92.1M 26s 79150K .......... .......... .......... .......... .......... 30% 133M 26s 79200K .......... .......... .......... .......... .......... 30% 13.9M 26s 79250K .......... .......... .......... .......... .......... 30% 157M 26s 79300K .......... .......... .......... .......... .......... 30% 137M 26s 79350K .......... .......... .......... .......... .......... 30% 42.2M 26s 79400K .......... .......... .......... .......... .......... 30% 22.5M 26s 79450K .......... .......... .......... .......... .......... 30% 22.0M 26s 79500K .......... .......... .......... .......... .......... 30% 25.1M 26s 79550K .......... .......... .......... .......... .......... 30% 77.0M 26s 79600K .......... .......... .......... .......... .......... 30% 37.1M 26s 79650K .......... .......... .......... .......... .......... 30% 39.3M 26s 79700K .......... .......... .......... .......... .......... 30% 32.3M 26s 79750K .......... .......... .......... .......... .......... 30% 46.8M 26s 79800K .......... .......... .......... .......... .......... 30% 44.6M 26s 79850K .......... .......... .......... .......... .......... 30% 894K 26s 79900K .......... .......... .......... .......... .......... 30% 141M 26s 79950K .......... .......... .......... .......... .......... 30% 145M 26s 80000K .......... .......... .......... .......... .......... 30% 94.6M 26s 80050K .......... .......... .......... .......... .......... 30% 167M 26s 80100K .......... .......... .......... .......... .......... 30% 137M 26s 80150K .......... .......... .......... .......... .......... 30% 161M 26s 80200K .......... .......... .......... .......... .......... 30% 88.8M 26s 80250K .......... .......... .......... .......... .......... 30% 143M 26s 80300K .......... .......... .......... .......... .......... 30% 60.5M 26s 80350K .......... .......... .......... .......... .......... 30% 47.1M 26s 80400K .......... .......... .......... .......... .......... 30% 118M 26s 80450K .......... .......... .......... .......... .......... 30% 33.2M 25s 80500K .......... .......... .......... .......... .......... 30% 79.0M 25s 80550K .......... .......... .......... .......... .......... 30% 66.3M 25s 80600K .......... .......... .......... .......... .......... 30% 58.4M 25s 80650K .......... .......... .......... .......... .......... 30% 74.9M 25s 80700K .......... .......... .......... .......... .......... 30% 59.0M 25s 80750K .......... .......... .......... .......... .......... 30% 71.6M 25s 80800K .......... .......... .......... .......... .......... 30% 66.9M 25s 80850K .......... .......... .......... .......... .......... 30% 382K 26s 80900K .......... .......... .......... .......... .......... 30% 166M 26s 80950K .......... .......... .......... .......... .......... 30% 134M 26s 81000K .......... .......... .......... .......... .......... 30% 113M 26s 81050K .......... .......... .......... .......... .......... 30% 88.1M 26s 81100K .......... .......... .......... .......... .......... 30% 111M 26s 81150K .......... .......... .......... .......... .......... 30% 98.0M 25s 81200K .......... .......... .......... .......... .......... 30% 112M 25s 81250K .......... .......... .......... .......... .......... 30% 153M 25s 81300K .......... .......... .......... .......... .......... 30% 99.2M 25s 81350K .......... .......... .......... .......... .......... 30% 103M 25s 81400K .......... .......... .......... .......... .......... 30% 92.0M 25s 81450K .......... .......... .......... .......... .......... 30% 40.6M 25s 81500K .......... .......... .......... .......... .......... 30% 54.4M 25s 81550K .......... .......... .......... .......... .......... 30% 64.7M 25s 81600K .......... .......... .......... .......... .......... 30% 57.9M 25s 81650K .......... .......... .......... .......... .......... 30% 80.6M 25s 81700K .......... .......... .......... .......... .......... 30% 28.3M 25s 81750K .......... .......... .......... .......... .......... 31% 208M 25s 81800K .......... .......... .......... .......... .......... 31% 48.0M 25s 81850K .......... .......... .......... .......... .......... 31% 63.2M 25s 81900K .......... .......... .......... .......... .......... 31% 4.83M 25s 81950K .......... .......... .......... .......... .......... 31% 132M 25s 82000K .......... .......... .......... .......... .......... 31% 144M 25s 82050K .......... .......... .......... .......... .......... 31% 131M 25s 82100K .......... .......... .......... .......... .......... 31% 119M 25s 82150K .......... .......... .......... .......... .......... 31% 129M 25s 82200K .......... .......... .......... .......... .......... 31% 127M 25s 82250K .......... .......... .......... .......... .......... 31% 164M 25s 82300K .......... .......... .......... .......... .......... 31% 21.5M 25s 82350K .......... .......... .......... .......... .......... 31% 95.7M 25s 82400K .......... .......... .......... .......... .......... 31% 150M 25s 82450K .......... .......... .......... .......... .......... 31% 127M 25s 82500K .......... .......... .......... .......... .......... 31% 129M 25s 82550K .......... .......... .......... .......... .......... 31% 146M 25s 82600K .......... .......... .......... .......... .......... 31% 127M 25s 82650K .......... .......... .......... .......... .......... 31% 89.6M 25s 82700K .......... .......... .......... .......... .......... 31% 78.5M 25s 82750K .......... .......... .......... .......... .......... 31% 54.4M 25s 82800K .......... .......... .......... .......... .......... 31% 66.1M 25s 82850K .......... .......... .......... .......... .......... 31% 83.2M 25s 82900K .......... .......... .......... .......... .......... 31% 143K 26s 82950K .......... .......... .......... .......... .......... 31% 118M 26s 83000K .......... .......... .......... .......... .......... 31% 126M 26s 83050K .......... .......... .......... .......... .......... 31% 128M 25s 83100K .......... .......... .......... .......... .......... 31% 142M 25s 83150K .......... .......... .......... .......... .......... 31% 126M 25s 83200K .......... .......... .......... .......... .......... 31% 104M 25s 83250K .......... .......... .......... .......... .......... 31% 144M 25s 83300K .......... .......... .......... .......... .......... 31% 180M 25s 83350K .......... .......... .......... .......... .......... 31% 63.1M 25s 83400K .......... .......... .......... .......... .......... 31% 141M 25s 83450K .......... .......... .......... .......... .......... 31% 46.7M 25s 83500K .......... .......... .......... .......... .......... 31% 122M 25s 83550K .......... .......... .......... .......... .......... 31% 59.0M 25s 83600K .......... .......... .......... .......... .......... 31% 45.4M 25s 83650K .......... .......... .......... .......... .......... 31% 98.8M 25s 83700K .......... .......... .......... .......... .......... 31% 37.0M 25s 83750K .......... .......... .......... .......... .......... 31% 76.4M 25s 83800K .......... .......... .......... .......... .......... 31% 58.3M 25s 83850K .......... .......... .......... .......... .......... 31% 46.1M 25s 83900K .......... .......... .......... .......... .......... 31% 63.3M 25s 83950K .......... .......... .......... .......... .......... 31% 221K 26s 84000K .......... .......... .......... .......... .......... 31% 132M 26s 84050K .......... .......... .......... .......... .......... 31% 107M 26s 84100K .......... .......... .......... .......... .......... 31% 117M 26s 84150K .......... .......... .......... .......... .......... 31% 117M 26s 84200K .......... .......... .......... .......... .......... 31% 101M 25s 84250K .......... .......... .......... .......... .......... 31% 222M 25s 84300K .......... .......... .......... .......... .......... 31% 46.2M 25s 84350K .......... .......... .......... .......... .......... 32% 50.4M 25s 84400K .......... .......... .......... .......... .......... 32% 51.5M 25s 84450K .......... .......... .......... .......... .......... 32% 32.5M 25s 84500K .......... .......... .......... .......... .......... 32% 36.0M 25s 84550K .......... .......... .......... .......... .......... 32% 33.6M 25s 84600K .......... .......... .......... .......... .......... 32% 35.7M 25s 84650K .......... .......... .......... .......... .......... 32% 29.0M 25s 84700K .......... .......... .......... .......... .......... 32% 42.6M 25s 84750K .......... .......... .......... .......... .......... 32% 26.4M 25s 84800K .......... .......... .......... .......... .......... 32% 66.1M 25s 84850K .......... .......... .......... .......... .......... 32% 24.9M 25s 84900K .......... .......... .......... .......... .......... 32% 29.9M 25s 84950K .......... .......... .......... .......... .......... 32% 25.3M 25s 85000K .......... .......... .......... .......... .......... 32% 76.7M 25s 85050K .......... .......... .......... .......... .......... 32% 46.5M 25s 85100K .......... .......... .......... .......... .......... 32% 39.4M 25s 85150K .......... .......... .......... .......... .......... 32% 94.1M 25s 85200K .......... .......... .......... .......... .......... 32% 35.5M 25s 85250K .......... .......... .......... .......... .......... 32% 26.9M 25s 85300K .......... .......... .......... .......... .......... 32% 91.6M 25s 85350K .......... .......... .......... .......... .......... 32% 21.2M 25s 85400K .......... .......... .......... .......... .......... 32% 62.7M 25s 85450K .......... .......... .......... .......... .......... 32% 19.4M 25s 85500K .......... .......... .......... .......... .......... 32% 52.3M 25s 85550K .......... .......... .......... .......... .......... 32% 11.9M 25s 85600K .......... .......... .......... .......... .......... 32% 34.3M 25s 85650K .......... .......... .......... .......... .......... 32% 36.4M 25s 85700K .......... .......... .......... .......... .......... 32% 11.4M 25s 85750K .......... .......... .......... .......... .......... 32% 37.0M 25s 85800K .......... .......... .......... .......... .......... 32% 30.9M 25s 85850K .......... .......... .......... .......... .......... 32% 47.6M 25s 85900K .......... .......... .......... .......... .......... 32% 38.1M 25s 85950K .......... .......... .......... .......... .......... 32% 47.5M 25s 86000K .......... .......... .......... .......... .......... 32% 240K 25s 86050K .......... .......... .......... .......... .......... 32% 163M 25s 86100K .......... .......... .......... .......... .......... 32% 103M 25s 86150K .......... .......... .......... .......... .......... 32% 138M 25s 86200K .......... .......... .......... .......... .......... 32% 92.0M 25s 86250K .......... .......... .......... .......... .......... 32% 117M 25s 86300K .......... .......... .......... .......... .......... 32% 131M 25s 86350K .......... .......... .......... .......... .......... 32% 101M 25s 86400K .......... .......... .......... .......... .......... 32% 150M 25s 86450K .......... .......... .......... .......... .......... 32% 74.3M 25s 86500K .......... .......... .......... .......... .......... 32% 124M 25s 86550K .......... .......... .......... .......... .......... 32% 49.6M 25s 86600K .......... .......... .......... .......... .......... 32% 68.5M 25s 86650K .......... .......... .......... .......... .......... 32% 73.2M 25s 86700K .......... .......... .......... .......... .......... 32% 47.5M 25s 86750K .......... .......... .......... .......... .......... 32% 87.3M 25s 86800K .......... .......... .......... .......... .......... 32% 64.3M 25s 86850K .......... .......... .......... .......... .......... 32% 28.2M 25s 86900K .......... .......... .......... .......... .......... 32% 73.3M 25s 86950K .......... .......... .......... .......... .......... 32% 63.6M 25s 87000K .......... .......... .......... .......... .......... 33% 47.7M 25s 87050K .......... .......... .......... .......... .......... 33% 61.8M 25s 87100K .......... .......... .......... .......... .......... 33% 102M 25s 87150K .......... .......... .......... .......... .......... 33% 93.4M 25s 87200K .......... .......... .......... .......... .......... 33% 65.3M 25s 87250K .......... .......... .......... .......... .......... 33% 102M 25s 87300K .......... .......... .......... .......... .......... 33% 78.4M 25s 87350K .......... .......... .......... .......... .......... 33% 70.7M 25s 87400K .......... .......... .......... .......... .......... 33% 109M 25s 87450K .......... .......... .......... .......... .......... 33% 60.6M 25s 87500K .......... .......... .......... .......... .......... 33% 93.2M 25s 87550K .......... .......... .......... .......... .......... 33% 95.2M 25s 87600K .......... .......... .......... .......... .......... 33% 80.0M 25s 87650K .......... .......... .......... .......... .......... 33% 68.1M 25s 87700K .......... .......... .......... .......... .......... 33% 53.7M 25s 87750K .......... .......... .......... .......... .......... 33% 67.5M 25s 87800K .......... .......... .......... .......... .......... 33% 90.8M 25s 87850K .......... .......... .......... .......... .......... 33% 42.9M 24s 87900K .......... .......... .......... .......... .......... 33% 50.3M 24s 87950K .......... .......... .......... .......... .......... 33% 48.5M 24s 88000K .......... .......... .......... .......... .......... 33% 38.0M 24s 88050K .......... .......... .......... .......... .......... 33% 366K 25s 88100K .......... .......... .......... .......... .......... 33% 116M 25s 88150K .......... .......... .......... .......... .......... 33% 132M 25s 88200K .......... .......... .......... .......... .......... 33% 105M 25s 88250K .......... .......... .......... .......... .......... 33% 116M 25s 88300K .......... .......... .......... .......... .......... 33% 124M 25s 88350K .......... .......... .......... .......... .......... 33% 102M 25s 88400K .......... .......... .......... .......... .......... 33% 114M 25s 88450K .......... .......... .......... .......... .......... 33% 61.0M 25s 88500K .......... .......... .......... .......... .......... 33% 46.1M 25s 88550K .......... .......... .......... .......... .......... 33% 56.9M 24s 88600K .......... .......... .......... .......... .......... 33% 64.4M 24s 88650K .......... .......... .......... .......... .......... 33% 72.8M 24s 88700K .......... .......... .......... .......... .......... 33% 56.8M 24s 88750K .......... .......... .......... .......... .......... 33% 10.3M 24s 88800K .......... .......... .......... .......... .......... 33% 85.5M 24s 88850K .......... .......... .......... .......... .......... 33% 119M 24s 88900K .......... .......... .......... .......... .......... 33% 103M 24s 88950K .......... .......... .......... .......... .......... 33% 49.8M 24s 89000K .......... .......... .......... .......... .......... 33% 90.1M 24s 89050K .......... .......... .......... .......... .......... 33% 24.4M 24s 89100K .......... .......... .......... .......... .......... 33% 64.2M 24s 89150K .......... .......... .......... .......... .......... 33% 26.3M 24s 89200K .......... .......... .......... .......... .......... 33% 80.3M 24s 89250K .......... .......... .......... .......... .......... 33% 23.1M 24s 89300K .......... .......... .......... .......... .......... 33% 48.2M 24s 89350K .......... .......... .......... .......... .......... 33% 39.2M 24s 89400K .......... .......... .......... .......... .......... 33% 26.6M 24s 89450K .......... .......... .......... .......... .......... 33% 82.2M 24s 89500K .......... .......... .......... .......... .......... 33% 25.3M 24s 89550K .......... .......... .......... .......... .......... 33% 74.1M 24s 89600K .......... .......... .......... .......... .......... 33% 29.9M 24s 89650K .......... .......... .......... .......... .......... 34% 58.8M 24s 89700K .......... .......... .......... .......... .......... 34% 24.0M 24s 89750K .......... .......... .......... .......... .......... 34% 18.1M 24s 89800K .......... .......... .......... .......... .......... 34% 70.1M 24s 89850K .......... .......... .......... .......... .......... 34% 15.8M 24s 89900K .......... .......... .......... .......... .......... 34% 42.2M 24s 89950K .......... .......... .......... .......... .......... 34% 15.0M 24s 90000K .......... .......... .......... .......... .......... 34% 14.9M 24s 90050K .......... .......... .......... .......... .......... 34% 32.3M 24s 90100K .......... .......... .......... .......... .......... 34% 189K 24s 90150K .......... .......... .......... .......... .......... 34% 149M 24s 90200K .......... .......... .......... .......... .......... 34% 151M 24s 90250K .......... .......... .......... .......... .......... 34% 158M 24s 90300K .......... .......... .......... .......... .......... 34% 118M 24s 90350K .......... .......... .......... .......... .......... 34% 89.3M 24s 90400K .......... .......... .......... .......... .......... 34% 102M 24s 90450K .......... .......... .......... .......... .......... 34% 96.7M 24s 90500K .......... .......... .......... .......... .......... 34% 124M 24s 90550K .......... .......... .......... .......... .......... 34% 54.3M 24s 90600K .......... .......... .......... .......... .......... 34% 46.9M 24s 90650K .......... .......... .......... .......... .......... 34% 73.9M 24s 90700K .......... .......... .......... .......... .......... 34% 68.7M 24s 90750K .......... .......... .......... .......... .......... 34% 39.1M 24s 90800K .......... .......... .......... .......... .......... 34% 38.5M 24s 90850K .......... .......... .......... .......... .......... 34% 33.6M 24s 90900K .......... .......... .......... .......... .......... 34% 20.7M 24s 90950K .......... .......... .......... .......... .......... 34% 45.9M 24s 91000K .......... .......... .......... .......... .......... 34% 25.5M 24s 91050K .......... .......... .......... .......... .......... 34% 62.9M 24s 91100K .......... .......... .......... .......... .......... 34% 144K 25s 91150K .......... .......... .......... .......... .......... 34% 198M 25s 91200K .......... .......... .......... .......... .......... 34% 102M 25s 91250K .......... .......... .......... .......... .......... 34% 127M 25s 91300K .......... .......... .......... .......... .......... 34% 118M 25s 91350K .......... .......... .......... .......... .......... 34% 105M 25s 91400K .......... .......... .......... .......... .......... 34% 16.7M 25s 91450K .......... .......... .......... .......... .......... 34% 6.49M 25s 91500K .......... .......... .......... .......... .......... 34% 126M 25s 91550K .......... .......... .......... .......... .......... 34% 141M 25s 91600K .......... .......... .......... .......... .......... 34% 103M 25s 91650K .......... .......... .......... .......... .......... 34% 10.6M 25s 91700K .......... .......... .......... .......... .......... 34% 52.7M 25s 91750K .......... .......... .......... .......... .......... 34% 32.5M 25s 91800K .......... .......... .......... .......... .......... 34% 20.1M 24s 91850K .......... .......... .......... .......... .......... 34% 20.1M 24s 91900K .......... .......... .......... .......... .......... 34% 25.9M 24s 91950K .......... .......... .......... .......... .......... 34% 18.5M 24s 92000K .......... .......... .......... .......... .......... 34% 28.5M 24s 92050K .......... .......... .......... .......... .......... 34% 41.2M 24s 92100K .......... .......... .......... .......... .......... 34% 41.4M 24s 92150K .......... .......... .......... .......... .......... 34% 33.7M 24s 92200K .......... .......... .......... .......... .......... 34% 28.0M 24s 92250K .......... .......... .......... .......... .......... 34% 34.5M 24s 92300K .......... .......... .......... .......... .......... 35% 41.0M 24s 92350K .......... .......... .......... .......... .......... 35% 33.2M 24s 92400K .......... .......... .......... .......... .......... 35% 40.4M 24s 92450K .......... .......... .......... .......... .......... 35% 37.2M 24s 92500K .......... .......... .......... .......... .......... 35% 47.3M 24s 92550K .......... .......... .......... .......... .......... 35% 41.1M 24s 92600K .......... .......... .......... .......... .......... 35% 38.2M 24s 92650K .......... .......... .......... .......... .......... 35% 68.2M 24s 92700K .......... .......... .......... .......... .......... 35% 35.6M 24s 92750K .......... .......... .......... .......... .......... 35% 46.6M 24s 92800K .......... .......... .......... .......... .......... 35% 82.1M 24s 92850K .......... .......... .......... .......... .......... 35% 36.2M 24s 92900K .......... .......... .......... .......... .......... 35% 38.4M 24s 92950K .......... .......... .......... .......... .......... 35% 35.6M 24s 93000K .......... .......... .......... .......... .......... 35% 63.7M 24s 93050K .......... .......... .......... .......... .......... 35% 76.8M 24s 93100K .......... .......... .......... .......... .......... 35% 50.7M 24s 93150K .......... .......... .......... .......... .......... 35% 252K 24s 93200K .......... .......... .......... .......... .......... 35% 116M 24s 93250K .......... .......... .......... .......... .......... 35% 153M 24s 93300K .......... .......... .......... .......... .......... 35% 207M 24s 93350K .......... .......... .......... .......... .......... 35% 144M 24s 93400K .......... .......... .......... .......... .......... 35% 118M 24s 93450K .......... .......... .......... .......... .......... 35% 144M 24s 93500K .......... .......... .......... .......... .......... 35% 101M 24s 93550K .......... .......... .......... .......... .......... 35% 93.9M 24s 93600K .......... .......... .......... .......... .......... 35% 39.8M 24s 93650K .......... .......... .......... .......... .......... 35% 107M 24s 93700K .......... .......... .......... .......... .......... 35% 60.4M 24s 93750K .......... .......... .......... .......... .......... 35% 84.8M 24s 93800K .......... .......... .......... .......... .......... 35% 74.4M 24s 93850K .......... .......... .......... .......... .......... 35% 87.2M 24s 93900K .......... .......... .......... .......... .......... 35% 77.3M 24s 93950K .......... .......... .......... .......... .......... 35% 88.5M 24s 94000K .......... .......... .......... .......... .......... 35% 105M 24s 94050K .......... .......... .......... .......... .......... 35% 81.1M 24s 94100K .......... .......... .......... .......... .......... 35% 53.3M 24s 94150K .......... .......... .......... .......... .......... 35% 90.4M 24s 94200K .......... .......... .......... .......... .......... 35% 104M 24s 94250K .......... .......... .......... .......... .......... 35% 50.4M 24s 94300K .......... .......... .......... .......... .......... 35% 142M 24s 94350K .......... .......... .......... .......... .......... 35% 60.2M 24s 94400K .......... .......... .......... .......... .......... 35% 103M 24s 94450K .......... .......... .......... .......... .......... 35% 69.6M 24s 94500K .......... .......... .......... .......... .......... 35% 78.5M 24s 94550K .......... .......... .......... .......... .......... 35% 107M 24s 94600K .......... .......... .......... .......... .......... 35% 65.9M 24s 94650K .......... .......... .......... .......... .......... 35% 52.2M 24s 94700K .......... .......... .......... .......... .......... 35% 69.5M 24s 94750K .......... .......... .......... .......... .......... 35% 69.5M 24s 94800K .......... .......... .......... .......... .......... 35% 106M 24s 94850K .......... .......... .......... .......... .......... 35% 71.8M 24s 94900K .......... .......... .......... .......... .......... 36% 79.4M 24s 94950K .......... .......... .......... .......... .......... 36% 67.8M 24s 95000K .......... .......... .......... .......... .......... 36% 88.3M 24s 95050K .......... .......... .......... .......... .......... 36% 88.0M 24s 95100K .......... .......... .......... .......... .......... 36% 58.1M 24s 95150K .......... .......... .......... .......... .......... 36% 62.6M 24s 95200K .......... .......... .......... .......... .......... 36% 160K 24s 95250K .......... .......... .......... .......... .......... 36% 145M 24s 95300K .......... .......... .......... .......... .......... 36% 134M 24s 95350K .......... .......... .......... .......... .......... 36% 127M 24s 95400K .......... .......... .......... .......... .......... 36% 157M 24s 95450K .......... .......... .......... .......... .......... 36% 142M 24s 95500K .......... .......... .......... .......... .......... 36% 30.3M 24s 95550K .......... .......... .......... .......... .......... 36% 21.7M 24s 95600K .......... .......... .......... .......... .......... 36% 58.5M 24s 95650K .......... .......... .......... .......... .......... 36% 15.3M 24s 95700K .......... .......... .......... .......... .......... 36% 27.8M 24s 95750K .......... .......... .......... .......... .......... 36% 11.6M 24s 95800K .......... .......... .......... .......... .......... 36% 27.5M 24s 95850K .......... .......... .......... .......... .......... 36% 10.8M 24s 95900K .......... .......... .......... .......... .......... 36% 17.4M 24s 95950K .......... .......... .......... .......... .......... 36% 76.3M 24s 96000K .......... .......... .......... .......... .......... 36% 27.0M 24s 96050K .......... .......... .......... .......... .......... 36% 79.4M 24s 96100K .......... .......... .......... .......... .......... 36% 20.4M 24s 96150K .......... .......... .......... .......... .......... 36% 55.5M 24s 96200K .......... .......... .......... .......... .......... 36% 18.3M 24s 96250K .......... .......... .......... .......... .......... 36% 805K 24s 96300K .......... .......... .......... .......... .......... 36% 178M 24s 96350K .......... .......... .......... .......... .......... 36% 154M 24s 96400K .......... .......... .......... .......... .......... 36% 126M 24s 96450K .......... .......... .......... .......... .......... 36% 128M 24s 96500K .......... .......... .......... .......... .......... 36% 138M 24s 96550K .......... .......... .......... .......... .......... 36% 101M 24s 96600K .......... .......... .......... .......... .......... 36% 88.8M 24s 96650K .......... .......... .......... .......... .......... 36% 126M 24s 96700K .......... .......... .......... .......... .......... 36% 59.6M 24s 96750K .......... .......... .......... .......... .......... 36% 129M 24s 96800K .......... .......... .......... .......... .......... 36% 63.7M 24s 96850K .......... .......... .......... .......... .......... 36% 57.9M 24s 96900K .......... .......... .......... .......... .......... 36% 97.5M 24s 96950K .......... .......... .......... .......... .......... 36% 53.0M 24s 97000K .......... .......... .......... .......... .......... 36% 98.8M 24s 97050K .......... .......... .......... .......... .......... 36% 70.8M 24s 97100K .......... .......... .......... .......... .......... 36% 77.4M 24s 97150K .......... .......... .......... .......... .......... 36% 94.8M 24s 97200K .......... .......... .......... .......... .......... 36% 50.4M 24s 97250K .......... .......... .......... .......... .......... 36% 178K 24s 97300K .......... .......... .......... .......... .......... 36% 132M 24s 97350K .......... .......... .......... .......... .......... 36% 117M 24s 97400K .......... .......... .......... .......... .......... 36% 164M 24s 97450K .......... .......... .......... .......... .......... 36% 117M 24s 97500K .......... .......... .......... .......... .......... 36% 135M 24s 97550K .......... .......... .......... .......... .......... 37% 87.7M 24s 97600K .......... .......... .......... .......... .......... 37% 12.7M 24s 97650K .......... .......... .......... .......... .......... 37% 122M 24s 97700K .......... .......... .......... .......... .......... 37% 110M 24s 97750K .......... .......... .......... .......... .......... 37% 25.7M 24s 97800K .......... .......... .......... .......... .......... 37% 29.3M 24s 97850K .......... .......... .......... .......... .......... 37% 42.3M 24s 97900K .......... .......... .......... .......... .......... 37% 17.8M 24s 97950K .......... .......... .......... .......... .......... 37% 22.2M 24s 98000K .......... .......... .......... .......... .......... 37% 26.3M 24s 98050K .......... .......... .......... .......... .......... 37% 25.9M 24s 98100K .......... .......... .......... .......... .......... 37% 19.9M 24s 98150K .......... .......... .......... .......... .......... 37% 38.0M 24s 98200K .......... .......... .......... .......... .......... 37% 15.0M 24s 98250K .......... .......... .......... .......... .......... 37% 19.3M 24s 98300K .......... .......... .......... .......... .......... 37% 38.8M 24s 98350K .......... .......... .......... .......... .......... 37% 43.9M 24s 98400K .......... .......... .......... .......... .......... 37% 64.7M 24s 98450K .......... .......... .......... .......... .......... 37% 37.6M 24s 98500K .......... .......... .......... .......... .......... 37% 35.0M 24s 98550K .......... .......... .......... .......... .......... 37% 38.7M 24s 98600K .......... .......... .......... .......... .......... 37% 32.2M 24s 98650K .......... .......... .......... .......... .......... 37% 59.3M 24s 98700K .......... .......... .......... .......... .......... 37% 27.0M 24s 98750K .......... .......... .......... .......... .......... 37% 62.3M 24s 98800K .......... .......... .......... .......... .......... 37% 48.0M 23s 98850K .......... .......... .......... .......... .......... 37% 54.9M 23s 98900K .......... .......... .......... .......... .......... 37% 51.8M 23s 98950K .......... .......... .......... .......... .......... 37% 54.7M 23s 99000K .......... .......... .......... .......... .......... 37% 54.6M 23s 99050K .......... .......... .......... .......... .......... 37% 82.8M 23s 99100K .......... .......... .......... .......... .......... 37% 44.6M 23s 99150K .......... .......... .......... .......... .......... 37% 71.7M 23s 99200K .......... .......... .......... .......... .......... 37% 39.3M 23s 99250K .......... .......... .......... .......... .......... 37% 71.4M 23s 99300K .......... .......... .......... .......... .......... 37% 213K 24s 99350K .......... .......... .......... .......... .......... 37% 97.3M 24s 99400K .......... .......... .......... .......... .......... 37% 91.1M 24s 99450K .......... .......... .......... .......... .......... 37% 97.9M 24s 99500K .......... .......... .......... .......... .......... 37% 144M 24s 99550K .......... .......... .......... .......... .......... 37% 108M 24s 99600K .......... .......... .......... .......... .......... 37% 117M 24s 99650K .......... .......... .......... .......... .......... 37% 170M 24s 99700K .......... .......... .......... .......... .......... 37% 78.5M 24s 99750K .......... .......... .......... .......... .......... 37% 138M 24s 99800K .......... .......... .......... .......... .......... 37% 56.1M 24s 99850K .......... .......... .......... .......... .......... 37% 51.2M 24s 99900K .......... .......... .......... .......... .......... 37% 55.2M 23s 99950K .......... .......... .......... .......... .......... 37% 51.7M 23s 100000K .......... .......... .......... .......... .......... 37% 92.3M 23s 100050K .......... .......... .......... .......... .......... 37% 56.6M 23s 100100K .......... .......... .......... .......... .......... 37% 67.8M 23s 100150K .......... .......... .......... .......... .......... 37% 48.8M 23s 100200K .......... .......... .......... .......... .......... 38% 43.7M 23s 100250K .......... .......... .......... .......... .......... 38% 43.5M 23s 100300K .......... .......... .......... .......... .......... 38% 82.5M 23s 100350K .......... .......... .......... .......... .......... 38% 201K 24s 100400K .......... .......... .......... .......... .......... 38% 117M 24s 100450K .......... .......... .......... .......... .......... 38% 185M 24s 100500K .......... .......... .......... .......... .......... 38% 157M 24s 100550K .......... .......... .......... .......... .......... 38% 87.2M 24s 100600K .......... .......... .......... .......... .......... 38% 108M 24s 100650K .......... .......... .......... .......... .......... 38% 88.9M 24s 100700K .......... .......... .......... .......... .......... 38% 54.3M 24s 100750K .......... .......... .......... .......... .......... 38% 32.9M 24s 100800K .......... .......... .......... .......... .......... 38% 38.9M 24s 100850K .......... .......... .......... .......... .......... 38% 27.3M 24s 100900K .......... .......... .......... .......... .......... 38% 46.1M 24s 100950K .......... .......... .......... .......... .......... 38% 32.7M 24s 101000K .......... .......... .......... .......... .......... 38% 45.4M 24s 101050K .......... .......... .......... .......... .......... 38% 103M 23s 101100K .......... .......... .......... .......... .......... 38% 78.3M 23s 101150K .......... .......... .......... .......... .......... 38% 88.1M 23s 101200K .......... .......... .......... .......... .......... 38% 43.8M 23s 101250K .......... .......... .......... .......... .......... 38% 80.8M 23s 101300K .......... .......... .......... .......... .......... 38% 76.3M 23s 101350K .......... .......... .......... .......... .......... 38% 1.90M 23s 101400K .......... .......... .......... .......... .......... 38% 174M 23s 101450K .......... .......... .......... .......... .......... 38% 146M 23s 101500K .......... .......... .......... .......... .......... 38% 184M 23s 101550K .......... .......... .......... .......... .......... 38% 241M 23s 101600K .......... .......... .......... .......... .......... 38% 142M 23s 101650K .......... .......... .......... .......... .......... 38% 47.2M 23s 101700K .......... .......... .......... .......... .......... 38% 39.8M 23s 101750K .......... .......... .......... .......... .......... 38% 21.0M 23s 101800K .......... .......... .......... .......... .......... 38% 39.3M 23s 101850K .......... .......... .......... .......... .......... 38% 35.7M 23s 101900K .......... .......... .......... .......... .......... 38% 67.5M 23s 101950K .......... .......... .......... .......... .......... 38% 55.4M 23s 102000K .......... .......... .......... .......... .......... 38% 53.4M 23s 102050K .......... .......... .......... .......... .......... 38% 62.0M 23s 102100K .......... .......... .......... .......... .......... 38% 69.3M 23s 102150K .......... .......... .......... .......... .......... 38% 55.4M 23s 102200K .......... .......... .......... .......... .......... 38% 51.2M 23s 102250K .......... .......... .......... .......... .......... 38% 61.0M 23s 102300K .......... .......... .......... .......... .......... 38% 62.5M 23s 102350K .......... .......... .......... .......... .......... 38% 52.7M 23s 102400K .......... .......... .......... .......... .......... 38% 174K 24s 102450K .......... .......... .......... .......... .......... 38% 89.1M 23s 102500K .......... .......... .......... .......... .......... 38% 102M 23s 102550K .......... .......... .......... .......... .......... 38% 164M 23s 102600K .......... .......... .......... .......... .......... 38% 90.3M 23s 102650K .......... .......... .......... .......... .......... 38% 133M 23s 102700K .......... .......... .......... .......... .......... 38% 60.1M 23s 102750K .......... .......... .......... .......... .......... 38% 12.5M 23s 102800K .......... .......... .......... .......... .......... 38% 42.7M 23s 102850K .......... .......... .......... .......... .......... 39% 94.4M 23s 102900K .......... .......... .......... .......... .......... 39% 22.8M 23s 102950K .......... .......... .......... .......... .......... 39% 18.5M 23s 103000K .......... .......... .......... .......... .......... 39% 56.8M 23s 103050K .......... .......... .......... .......... .......... 39% 18.7M 23s 103100K .......... .......... .......... .......... .......... 39% 20.9M 23s 103150K .......... .......... .......... .......... .......... 39% 29.4M 23s 103200K .......... .......... .......... .......... .......... 39% 27.5M 23s 103250K .......... .......... .......... .......... .......... 39% 28.0M 23s 103300K .......... .......... .......... .......... .......... 39% 29.1M 23s 103350K .......... .......... .......... .......... .......... 39% 26.0M 23s 103400K .......... .......... .......... .......... .......... 39% 205K 24s 103450K .......... .......... .......... .......... .......... 39% 145M 24s 103500K .......... .......... .......... .......... .......... 39% 148M 24s 103550K .......... .......... .......... .......... .......... 39% 159M 24s 103600K .......... .......... .......... .......... .......... 39% 153M 23s 103650K .......... .......... .......... .......... .......... 39% 182M 23s 103700K .......... .......... .......... .......... .......... 39% 123M 23s 103750K .......... .......... .......... .......... .......... 39% 42.5M 23s 103800K .......... .......... .......... .......... .......... 39% 45.2M 23s 103850K .......... .......... .......... .......... .......... 39% 45.6M 23s 103900K .......... .......... .......... .......... .......... 39% 46.9M 23s 103950K .......... .......... .......... .......... .......... 39% 48.5M 23s 104000K .......... .......... .......... .......... .......... 39% 47.8M 23s 104050K .......... .......... .......... .......... .......... 39% 52.4M 23s 104100K .......... .......... .......... .......... .......... 39% 36.3M 23s 104150K .......... .......... .......... .......... .......... 39% 41.8M 23s 104200K .......... .......... .......... .......... .......... 39% 36.5M 23s 104250K .......... .......... .......... .......... .......... 39% 23.3M 23s 104300K .......... .......... .......... .......... .......... 39% 61.7M 23s 104350K .......... .......... .......... .......... .......... 39% 38.9M 23s 104400K .......... .......... .......... .......... .......... 39% 39.3M 23s 104450K .......... .......... .......... .......... .......... 39% 34.1M 23s 104500K .......... .......... .......... .......... .......... 39% 43.3M 23s 104550K .......... .......... .......... .......... .......... 39% 46.4M 23s 104600K .......... .......... .......... .......... .......... 39% 20.0M 23s 104650K .......... .......... .......... .......... .......... 39% 69.7M 23s 104700K .......... .......... .......... .......... .......... 39% 14.1M 23s 104750K .......... .......... .......... .......... .......... 39% 11.6M 23s 104800K .......... .......... .......... .......... .......... 39% 32.5M 23s 104850K .......... .......... .......... .......... .......... 39% 20.6M 23s 104900K .......... .......... .......... .......... .......... 39% 30.2M 23s 104950K .......... .......... .......... .......... .......... 39% 31.3M 23s 105000K .......... .......... .......... .......... .......... 39% 110M 23s 105050K .......... .......... .......... .......... .......... 39% 33.3M 23s 105100K .......... .......... .......... .......... .......... 39% 108M 23s 105150K .......... .......... .......... .......... .......... 39% 20.7M 23s 105200K .......... .......... .......... .......... .......... 39% 14.5M 23s 105250K .......... .......... .......... .......... .......... 39% 108M 23s 105300K .......... .......... .......... .......... .......... 39% 173M 23s 105350K .......... .......... .......... .......... .......... 39% 116M 23s 105400K .......... .......... .......... .......... .......... 39% 89.3M 23s 105450K .......... .......... .......... .......... .......... 40% 194K 23s 105500K .......... .......... .......... .......... .......... 40% 174M 23s 105550K .......... .......... .......... .......... .......... 40% 194M 23s 105600K .......... .......... .......... .......... .......... 40% 142M 23s 105650K .......... .......... .......... .......... .......... 40% 123M 23s 105700K .......... .......... .......... .......... .......... 40% 125M 23s 105750K .......... .......... .......... .......... .......... 40% 41.0M 23s 105800K .......... .......... .......... .......... .......... 40% 18.1M 23s 105850K .......... .......... .......... .......... .......... 40% 16.3M 23s 105900K .......... .......... .......... .......... .......... 40% 52.1M 23s 105950K .......... .......... .......... .......... .......... 40% 15.2M 23s 106000K .......... .......... .......... .......... .......... 40% 26.3M 23s 106050K .......... .......... .......... .......... .......... 40% 114M 23s 106100K .......... .......... .......... .......... .......... 40% 30.1M 23s 106150K .......... .......... .......... .......... .......... 40% 35.1M 23s 106200K .......... .......... .......... .......... .......... 40% 53.3M 23s 106250K .......... .......... .......... .......... .......... 40% 23.8M 23s 106300K .......... .......... .......... .......... .......... 40% 22.7M 23s 106350K .......... .......... .......... .......... .......... 40% 67.6M 23s 106400K .......... .......... .......... .......... .......... 40% 19.9M 23s 106450K .......... .......... .......... .......... .......... 40% 198K 23s 106500K .......... .......... .......... .......... .......... 40% 118M 23s 106550K .......... .......... .......... .......... .......... 40% 138M 23s 106600K .......... .......... .......... .......... .......... 40% 117M 23s 106650K .......... .......... .......... .......... .......... 40% 142M 23s 106700K .......... .......... .......... .......... .......... 40% 111M 23s 106750K .......... .......... .......... .......... .......... 40% 101M 23s 106800K .......... .......... .......... .......... .......... 40% 139M 23s 106850K .......... .......... .......... .......... .......... 40% 92.3M 23s 106900K .......... .......... .......... .......... .......... 40% 50.7M 23s 106950K .......... .......... .......... .......... .......... 40% 59.7M 23s 107000K .......... .......... .......... .......... .......... 40% 62.8M 23s 107050K .......... .......... .......... .......... .......... 40% 48.8M 23s 107100K .......... .......... .......... .......... .......... 40% 33.5M 23s 107150K .......... .......... .......... .......... .......... 40% 45.8M 23s 107200K .......... .......... .......... .......... .......... 40% 53.5M 23s 107250K .......... .......... .......... .......... .......... 40% 43.4M 23s 107300K .......... .......... .......... .......... .......... 40% 65.5M 23s 107350K .......... .......... .......... .......... .......... 40% 29.9M 23s 107400K .......... .......... .......... .......... .......... 40% 37.6M 23s 107450K .......... .......... .......... .......... .......... 40% 39.1M 23s 107500K .......... .......... .......... .......... .......... 40% 41.6M 23s 107550K .......... .......... .......... .......... .......... 40% 40.6M 23s 107600K .......... .......... .......... .......... .......... 40% 45.8M 23s 107650K .......... .......... .......... .......... .......... 40% 57.4M 23s 107700K .......... .......... .......... .......... .......... 40% 43.8M 23s 107750K .......... .......... .......... .......... .......... 40% 58.0M 23s 107800K .......... .......... .......... .......... .......... 40% 60.0M 23s 107850K .......... .......... .......... .......... .......... 40% 46.2M 23s 107900K .......... .......... .......... .......... .......... 40% 59.9M 23s 107950K .......... .......... .......... .......... .......... 40% 88.8M 23s 108000K .......... .......... .......... .......... .......... 40% 45.7M 23s 108050K .......... .......... .......... .......... .......... 40% 54.6M 23s 108100K .......... .......... .......... .......... .......... 41% 96.5M 23s 108150K .......... .......... .......... .......... .......... 41% 49.8M 23s 108200K .......... .......... .......... .......... .......... 41% 94.4M 23s 108250K .......... .......... .......... .......... .......... 41% 70.1M 23s 108300K .......... .......... .......... .......... .......... 41% 102M 23s 108350K .......... .......... .......... .......... .......... 41% 51.9M 23s 108400K .......... .......... .......... .......... .......... 41% 53.2M 23s 108450K .......... .......... .......... .......... .......... 41% 30.4M 23s 108500K .......... .......... .......... .......... .......... 41% 250K 23s 108550K .......... .......... .......... .......... .......... 41% 186M 23s 108600K .......... .......... .......... .......... .......... 41% 117M 23s 108650K .......... .......... .......... .......... .......... 41% 142M 23s 108700K .......... .......... .......... .......... .......... 41% 178M 23s 108750K .......... .......... .......... .......... .......... 41% 163M 23s 108800K .......... .......... .......... .......... .......... 41% 151M 23s 108850K .......... .......... .......... .......... .......... 41% 61.7M 23s 108900K .......... .......... .......... .......... .......... 41% 49.2M 23s 108950K .......... .......... .......... .......... .......... 41% 50.7M 23s 109000K .......... .......... .......... .......... .......... 41% 69.4M 23s 109050K .......... .......... .......... .......... .......... 41% 77.1M 23s 109100K .......... .......... .......... .......... .......... 41% 41.5M 23s 109150K .......... .......... .......... .......... .......... 41% 77.4M 23s 109200K .......... .......... .......... .......... .......... 41% 44.6M 23s 109250K .......... .......... .......... .......... .......... 41% 78.4M 23s 109300K .......... .......... .......... .......... .......... 41% 60.1M 23s 109350K .......... .......... .......... .......... .......... 41% 81.9M 23s 109400K .......... .......... .......... .......... .......... 41% 50.8M 23s 109450K .......... .......... .......... .......... .......... 41% 64.2M 23s 109500K .......... .......... .......... .......... .......... 41% 87.8M 23s 109550K .......... .......... .......... .......... .......... 41% 45.0M 23s 109600K .......... .......... .......... .......... .......... 41% 113M 23s 109650K .......... .......... .......... .......... .......... 41% 44.7M 23s 109700K .......... .......... .......... .......... .......... 41% 50.3M 23s 109750K .......... .......... .......... .......... .......... 41% 75.5M 22s 109800K .......... .......... .......... .......... .......... 41% 59.6M 22s 109850K .......... .......... .......... .......... .......... 41% 45.1M 22s 109900K .......... .......... .......... .......... .......... 41% 44.0M 22s 109950K .......... .......... .......... .......... .......... 41% 33.6M 22s 110000K .......... .......... .......... .......... .......... 41% 29.8M 22s 110050K .......... .......... .......... .......... .......... 41% 41.7M 22s 110100K .......... .......... .......... .......... .......... 41% 42.7M 22s 110150K .......... .......... .......... .......... .......... 41% 57.9M 22s 110200K .......... .......... .......... .......... .......... 41% 54.4M 22s 110250K .......... .......... .......... .......... .......... 41% 65.5M 22s 110300K .......... .......... .......... .......... .......... 41% 104M 22s 110350K .......... .......... .......... .......... .......... 41% 57.9M 22s 110400K .......... .......... .......... .......... .......... 41% 96.5M 22s 110450K .......... .......... .......... .......... .......... 41% 57.4M 22s 110500K .......... .......... .......... .......... .......... 41% 64.7M 22s 110550K .......... .......... .......... .......... .......... 41% 159K 23s 110600K .......... .......... .......... .......... .......... 41% 86.6M 23s 110650K .......... .......... .......... .......... .......... 41% 219M 23s 110700K .......... .......... .......... .......... .......... 41% 166M 23s 110750K .......... .......... .......... .......... .......... 42% 188M 23s 110800K .......... .......... .......... .......... .......... 42% 125M 23s 110850K .......... .......... .......... .......... .......... 42% 109M 23s 110900K .......... .......... .......... .......... .......... 42% 118M 23s 110950K .......... .......... .......... .......... .......... 42% 41.4M 23s 111000K .......... .......... .......... .......... .......... 42% 61.6M 23s 111050K .......... .......... .......... .......... .......... 42% 64.9M 23s 111100K .......... .......... .......... .......... .......... 42% 54.6M 22s 111150K .......... .......... .......... .......... .......... 42% 78.1M 22s 111200K .......... .......... .......... .......... .......... 42% 74.9M 22s 111250K .......... .......... .......... .......... .......... 42% 99.0M 22s 111300K .......... .......... .......... .......... .......... 42% 50.1M 22s 111350K .......... .......... .......... .......... .......... 42% 58.3M 22s 111400K .......... .......... .......... .......... .......... 42% 108M 22s 111450K .......... .......... .......... .......... .......... 42% 70.2M 22s 111500K .......... .......... .......... .......... .......... 42% 106M 22s 111550K .......... .......... .......... .......... .......... 42% 44.9M 22s 111600K .......... .......... .......... .......... .......... 42% 158K 23s 111650K .......... .......... .......... .......... .......... 42% 95.2M 23s 111700K .......... .......... .......... .......... .......... 42% 87.5M 23s 111750K .......... .......... .......... .......... .......... 42% 98.0M 23s 111800K .......... .......... .......... .......... .......... 42% 140M 23s 111850K .......... .......... .......... .......... .......... 42% 132M 23s 111900K .......... .......... .......... .......... .......... 42% 176M 23s 111950K .......... .......... .......... .......... .......... 42% 10.6M 23s 112000K .......... .......... .......... .......... .......... 42% 138M 23s 112050K .......... .......... .......... .......... .......... 42% 55.9M 23s 112100K .......... .......... .......... .......... .......... 42% 26.3M 23s 112150K .......... .......... .......... .......... .......... 42% 102M 23s 112200K .......... .......... .......... .......... .......... 42% 120M 23s 112250K .......... .......... .......... .......... .......... 42% 45.8M 23s 112300K .......... .......... .......... .......... .......... 42% 62.0M 23s 112350K .......... .......... .......... .......... .......... 42% 22.1M 23s 112400K .......... .......... .......... .......... .......... 42% 17.5M 22s 112450K .......... .......... .......... .......... .......... 42% 17.7M 22s 112500K .......... .......... .......... .......... .......... 42% 45.3M 22s 112550K .......... .......... .......... .......... .......... 42% 62.0M 22s 112600K .......... .......... .......... .......... .......... 42% 273K 23s 112650K .......... .......... .......... .......... .......... 42% 143M 23s 112700K .......... .......... .......... .......... .......... 42% 117M 23s 112750K .......... .......... .......... .......... .......... 42% 107M 23s 112800K .......... .......... .......... .......... .......... 42% 120M 23s 112850K .......... .......... .......... .......... .......... 42% 97.1M 23s 112900K .......... .......... .......... .......... .......... 42% 184M 23s 112950K .......... .......... .......... .......... .......... 42% 114M 23s 113000K .......... .......... .......... .......... .......... 42% 51.1M 23s 113050K .......... .......... .......... .......... .......... 42% 24.8M 23s 113100K .......... .......... .......... .......... .......... 42% 31.6M 23s 113150K .......... .......... .......... .......... .......... 42% 78.1M 22s 113200K .......... .......... .......... .......... .......... 42% 28.7M 22s 113250K .......... .......... .......... .......... .......... 42% 36.0M 22s 113300K .......... .......... .......... .......... .......... 42% 42.6M 22s 113350K .......... .......... .......... .......... .......... 43% 85.8M 22s 113400K .......... .......... .......... .......... .......... 43% 15.5M 22s 113450K .......... .......... .......... .......... .......... 43% 117M 22s 113500K .......... .......... .......... .......... .......... 43% 49.6M 22s 113550K .......... .......... .......... .......... .......... 43% 26.6M 22s 113600K .......... .......... .......... .......... .......... 43% 38.4M 22s 113650K .......... .......... .......... .......... .......... 43% 39.5M 22s 113700K .......... .......... .......... .......... .......... 43% 31.2M 22s 113750K .......... .......... .......... .......... .......... 43% 35.6M 22s 113800K .......... .......... .......... .......... .......... 43% 38.0M 22s 113850K .......... .......... .......... .......... .......... 43% 30.9M 22s 113900K .......... .......... .......... .......... .......... 43% 28.7M 22s 113950K .......... .......... .......... .......... .......... 43% 28.3M 22s 114000K .......... .......... .......... .......... .......... 43% 46.0M 22s 114050K .......... .......... .......... .......... .......... 43% 50.0M 22s 114100K .......... .......... .......... .......... .......... 43% 42.2M 22s 114150K .......... .......... .......... .......... .......... 43% 29.9M 22s 114200K .......... .......... .......... .......... .......... 43% 29.7M 22s 114250K .......... .......... .......... .......... .......... 43% 25.7M 22s 114300K .......... .......... .......... .......... .......... 43% 54.3M 22s 114350K .......... .......... .......... .......... .......... 43% 45.0M 22s 114400K .......... .......... .......... .......... .......... 43% 48.5M 22s 114450K .......... .......... .......... .......... .......... 43% 46.5M 22s 114500K .......... .......... .......... .......... .......... 43% 66.0M 22s 114550K .......... .......... .......... .......... .......... 43% 42.2M 22s 114600K .......... .......... .......... .......... .......... 43% 46.3M 22s 114650K .......... .......... .......... .......... .......... 43% 27.0M 22s 114700K .......... .......... .......... .......... .......... 43% 41.0M 22s 114750K .......... .......... .......... .......... .......... 43% 56.7M 22s 114800K .......... .......... .......... .......... .......... 43% 40.7M 22s 114850K .......... .......... .......... .......... .......... 43% 45.2M 22s 114900K .......... .......... .......... .......... .......... 43% 114M 22s 114950K .......... .......... .......... .......... .......... 43% 58.3M 22s 115000K .......... .......... .......... .......... .......... 43% 76.5M 22s 115050K .......... .......... .......... .......... .......... 43% 84.8M 22s 115100K .......... .......... .......... .......... .......... 43% 77.0M 22s 115150K .......... .......... .......... .......... .......... 43% 110M 22s 115200K .......... .......... .......... .......... .......... 43% 79.0M 22s 115250K .......... .......... .......... .......... .......... 43% 90.6M 22s 115300K .......... .......... .......... .......... .......... 43% 152M 22s 115350K .......... .......... .......... .......... .......... 43% 82.1M 22s 115400K .......... .......... .......... .......... .......... 43% 131M 22s 115450K .......... .......... .......... .......... .......... 43% 20.8M 22s 115500K .......... .......... .......... .......... .......... 43% 149M 22s 115550K .......... .......... .......... .......... .......... 43% 108M 22s 115600K .......... .......... .......... .......... .......... 43% 143M 22s 115650K .......... .......... .......... .......... .......... 43% 38.4M 22s 115700K .......... .......... .......... .......... .......... 43% 221K 22s 115750K .......... .......... .......... .......... .......... 43% 99.9M 22s 115800K .......... .......... .......... .......... .......... 43% 122M 22s 115850K .......... .......... .......... .......... .......... 43% 122M 22s 115900K .......... .......... .......... .......... .......... 43% 140M 22s 115950K .......... .......... .......... .......... .......... 43% 128M 22s 116000K .......... .......... .......... .......... .......... 44% 143M 22s 116050K .......... .......... .......... .......... .......... 44% 138M 22s 116100K .......... .......... .......... .......... .......... 44% 81.4M 22s 116150K .......... .......... .......... .......... .......... 44% 88.8M 22s 116200K .......... .......... .......... .......... .......... 44% 14.3M 22s 116250K .......... .......... .......... .......... .......... 44% 121M 22s 116300K .......... .......... .......... .......... .......... 44% 125M 22s 116350K .......... .......... .......... .......... .......... 44% 26.6M 22s 116400K .......... .......... .......... .......... .......... 44% 79.4M 22s 116450K .......... .......... .......... .......... .......... 44% 36.1M 22s 116500K .......... .......... .......... .......... .......... 44% 47.5M 22s 116550K .......... .......... .......... .......... .......... 44% 38.0M 22s 116600K .......... .......... .......... .......... .......... 44% 30.8M 22s 116650K .......... .......... .......... .......... .......... 44% 84.2M 22s 116700K .......... .......... .......... .......... .......... 44% 34.2M 22s 116750K .......... .......... .......... .......... .......... 44% 37.8M 22s 116800K .......... .......... .......... .......... .......... 44% 34.2M 22s 116850K .......... .......... .......... .......... .......... 44% 32.8M 22s 116900K .......... .......... .......... .......... .......... 44% 74.7M 22s 116950K .......... .......... .......... .......... .......... 44% 35.0M 22s 117000K .......... .......... .......... .......... .......... 44% 35.2M 22s 117050K .......... .......... .......... .......... .......... 44% 39.0M 22s 117100K .......... .......... .......... .......... .......... 44% 40.4M 22s 117150K .......... .......... .......... .......... .......... 44% 94.6M 22s 117200K .......... .......... .......... .......... .......... 44% 28.9M 22s 117250K .......... .......... .......... .......... .......... 44% 51.3M 22s 117300K .......... .......... .......... .......... .......... 44% 38.4M 21s 117350K .......... .......... .......... .......... .......... 44% 45.7M 21s 117400K .......... .......... .......... .......... .......... 44% 56.1M 21s 117450K .......... .......... .......... .......... .......... 44% 57.6M 21s 117500K .......... .......... .......... .......... .......... 44% 47.9M 21s 117550K .......... .......... .......... .......... .......... 44% 62.4M 21s 117600K .......... .......... .......... .......... .......... 44% 51.1M 21s 117650K .......... .......... .......... .......... .......... 44% 62.7M 21s 117700K .......... .......... .......... .......... .......... 44% 42.1M 21s 117750K .......... .......... .......... .......... .......... 44% 345K 22s 117800K .......... .......... .......... .......... .......... 44% 121M 22s 117850K .......... .......... .......... .......... .......... 44% 97.5M 22s 117900K .......... .......... .......... .......... .......... 44% 167M 21s 117950K .......... .......... .......... .......... .......... 44% 101M 21s 118000K .......... .......... .......... .......... .......... 44% 110M 21s 118050K .......... .......... .......... .......... .......... 44% 189M 21s 118100K .......... .......... .......... .......... .......... 44% 115M 21s 118150K .......... .......... .......... .......... .......... 44% 177M 21s 118200K .......... .......... .......... .......... .......... 44% 6.04M 21s 118250K .......... .......... .......... .......... .......... 44% 138M 21s 118300K .......... .......... .......... .......... .......... 44% 164M 21s 118350K .......... .......... .......... .......... .......... 44% 141M 21s 118400K .......... .......... .......... .......... .......... 44% 115M 21s 118450K .......... .......... .......... .......... .......... 44% 110M 21s 118500K .......... .......... .......... .......... .......... 44% 88.3M 21s 118550K .......... .......... .......... .......... .......... 44% 26.0M 21s 118600K .......... .......... .......... .......... .......... 44% 68.3M 21s 118650K .......... .......... .......... .......... .......... 45% 29.2M 21s 118700K .......... .......... .......... .......... .......... 45% 26.2M 21s 118750K .......... .......... .......... .......... .......... 45% 217K 22s 118800K .......... .......... .......... .......... .......... 45% 168M 21s 118850K .......... .......... .......... .......... .......... 45% 106M 21s 118900K .......... .......... .......... .......... .......... 45% 103M 21s 118950K .......... .......... .......... .......... .......... 45% 182M 21s 119000K .......... .......... .......... .......... .......... 45% 194M 21s 119050K .......... .......... .......... .......... .......... 45% 145M 21s 119100K .......... .......... .......... .......... .......... 45% 149M 21s 119150K .......... .......... .......... .......... .......... 45% 38.8M 21s 119200K .......... .......... .......... .......... .......... 45% 12.2M 21s 119250K .......... .......... .......... .......... .......... 45% 93.7M 21s 119300K .......... .......... .......... .......... .......... 45% 30.9M 21s 119350K .......... .......... .......... .......... .......... 45% 32.1M 21s 119400K .......... .......... .......... .......... .......... 45% 95.9M 21s 119450K .......... .......... .......... .......... .......... 45% 36.2M 21s 119500K .......... .......... .......... .......... .......... 45% 33.2M 21s 119550K .......... .......... .......... .......... .......... 45% 120M 21s 119600K .......... .......... .......... .......... .......... 45% 32.6M 21s 119650K .......... .......... .......... .......... .......... 45% 34.6M 21s 119700K .......... .......... .......... .......... .......... 45% 44.0M 21s 119750K .......... .......... .......... .......... .......... 45% 88.5M 21s 119800K .......... .......... .......... .......... .......... 45% 46.8M 21s 119850K .......... .......... .......... .......... .......... 45% 30.1M 21s 119900K .......... .......... .......... .......... .......... 45% 35.6M 21s 119950K .......... .......... .......... .......... .......... 45% 62.9M 21s 120000K .......... .......... .......... .......... .......... 45% 61.4M 21s 120050K .......... .......... .......... .......... .......... 45% 61.0M 21s 120100K .......... .......... .......... .......... .......... 45% 93.5M 21s 120150K .......... .......... .......... .......... .......... 45% 57.9M 21s 120200K .......... .......... .......... .......... .......... 45% 65.0M 21s 120250K .......... .......... .......... .......... .......... 45% 56.3M 21s 120300K .......... .......... .......... .......... .......... 45% 75.8M 21s 120350K .......... .......... .......... .......... .......... 45% 51.6M 21s 120400K .......... .......... .......... .......... .......... 45% 78.3M 21s 120450K .......... .......... .......... .......... .......... 45% 58.6M 21s 120500K .......... .......... .......... .......... .......... 45% 51.1M 21s 120550K .......... .......... .......... .......... .......... 45% 65.5M 21s 120600K .......... .......... .......... .......... .......... 45% 53.6M 21s 120650K .......... .......... .......... .......... .......... 45% 115M 21s 120700K .......... .......... .......... .......... .......... 45% 39.6M 21s 120750K .......... .......... .......... .......... .......... 45% 62.3M 21s 120800K .......... .......... .......... .......... .......... 45% 644K 21s 120850K .......... .......... .......... .......... .......... 45% 101M 21s 120900K .......... .......... .......... .......... .......... 45% 127M 21s 120950K .......... .......... .......... .......... .......... 45% 88.0M 21s 121000K .......... .......... .......... .......... .......... 45% 148M 21s 121050K .......... .......... .......... .......... .......... 45% 184M 21s 121100K .......... .......... .......... .......... .......... 45% 122M 21s 121150K .......... .......... .......... .......... .......... 45% 217M 21s 121200K .......... .......... .......... .......... .......... 45% 160M 21s 121250K .......... .......... .......... .......... .......... 45% 120M 21s 121300K .......... .......... .......... .......... .......... 46% 72.0M 21s 121350K .......... .......... .......... .......... .......... 46% 24.2M 21s 121400K .......... .......... .......... .......... .......... 46% 210M 21s 121450K .......... .......... .......... .......... .......... 46% 224M 21s 121500K .......... .......... .......... .......... .......... 46% 132M 21s 121550K .......... .......... .......... .......... .......... 46% 73.4M 21s 121600K .......... .......... .......... .......... .......... 46% 62.2M 21s 121650K .......... .......... .......... .......... .......... 46% 44.1M 21s 121700K .......... .......... .......... .......... .......... 46% 40.5M 21s 121750K .......... .......... .......... .......... .......... 46% 50.0M 21s 121800K .......... .......... .......... .......... .......... 46% 59.3M 21s 121850K .......... .......... .......... .......... .......... 46% 29.2M 21s 121900K .......... .......... .......... .......... .......... 46% 76.1M 21s 121950K .......... .......... .......... .......... .......... 46% 32.2M 21s 122000K .......... .......... .......... .......... .......... 46% 47.0M 21s 122050K .......... .......... .......... .......... .......... 46% 28.6M 21s 122100K .......... .......... .......... .......... .......... 46% 61.5M 21s 122150K .......... .......... .......... .......... .......... 46% 35.8M 21s 122200K .......... .......... .......... .......... .......... 46% 58.8M 21s 122250K .......... .......... .......... .......... .......... 46% 38.4M 21s 122300K .......... .......... .......... .......... .......... 46% 69.2M 21s 122350K .......... .......... .......... .......... .......... 46% 21.6M 21s 122400K .......... .......... .......... .......... .......... 46% 25.3M 21s 122450K .......... .......... .......... .......... .......... 46% 23.0M 20s 122500K .......... .......... .......... .......... .......... 46% 25.7M 20s 122550K .......... .......... .......... .......... .......... 46% 41.5M 20s 122600K .......... .......... .......... .......... .......... 46% 22.3M 20s 122650K .......... .......... .......... .......... .......... 46% 97.1M 20s 122700K .......... .......... .......... .......... .......... 46% 41.5M 20s 122750K .......... .......... .......... .......... .......... 46% 104M 20s 122800K .......... .......... .......... .......... .......... 46% 43.2M 20s 122850K .......... .......... .......... .......... .......... 46% 272K 21s 122900K .......... .......... .......... .......... .......... 46% 83.0M 21s 122950K .......... .......... .......... .......... .......... 46% 117M 21s 123000K .......... .......... .......... .......... .......... 46% 112M 21s 123050K .......... .......... .......... .......... .......... 46% 165M 21s 123100K .......... .......... .......... .......... .......... 46% 172M 21s 123150K .......... .......... .......... .......... .......... 46% 195M 21s 123200K .......... .......... .......... .......... .......... 46% 122M 20s 123250K .......... .......... .......... .......... .......... 46% 153M 20s 123300K .......... .......... .......... .......... .......... 46% 194M 20s 123350K .......... .......... .......... .......... .......... 46% 97.7M 20s 123400K .......... .......... .......... .......... .......... 46% 70.8M 20s 123450K .......... .......... .......... .......... .......... 46% 74.1M 20s 123500K .......... .......... .......... .......... .......... 46% 77.6M 20s 123550K .......... .......... .......... .......... .......... 46% 64.7M 20s 123600K .......... .......... .......... .......... .......... 46% 13.6M 20s 123650K .......... .......... .......... .......... .......... 46% 183M 20s 123700K .......... .......... .......... .......... .......... 46% 186M 20s 123750K .......... .......... .......... .......... .......... 46% 229M 20s 123800K .......... .......... .......... .......... .......... 46% 42.3M 20s 123850K .......... .......... .......... .......... .......... 46% 35.5M 20s 123900K .......... .......... .......... .......... .......... 47% 310K 20s 123950K .......... .......... .......... .......... .......... 47% 90.6M 20s 124000K .......... .......... .......... .......... .......... 47% 136M 20s 124050K .......... .......... .......... .......... .......... 47% 189M 20s 124100K .......... .......... .......... .......... .......... 47% 97.1M 20s 124150K .......... .......... .......... .......... .......... 47% 136M 20s 124200K .......... .......... .......... .......... .......... 47% 147M 20s 124250K .......... .......... .......... .......... .......... 47% 195M 20s 124300K .......... .......... .......... .......... .......... 47% 144M 20s 124350K .......... .......... .......... .......... .......... 47% 98.4M 20s 124400K .......... .......... .......... .......... .......... 47% 72.4M 20s 124450K .......... .......... .......... .......... .......... 47% 68.8M 20s 124500K .......... .......... .......... .......... .......... 47% 29.8M 20s 124550K .......... .......... .......... .......... .......... 47% 19.6M 20s 124600K .......... .......... .......... .......... .......... 47% 26.1M 20s 124650K .......... .......... .......... .......... .......... 47% 22.7M 20s 124700K .......... .......... .......... .......... .......... 47% 126M 20s 124750K .......... .......... .......... .......... .......... 47% 31.2M 20s 124800K .......... .......... .......... .......... .......... 47% 34.7M 20s 124850K .......... .......... .......... .......... .......... 47% 39.3M 20s 124900K .......... .......... .......... .......... .......... 47% 36.7M 20s 124950K .......... .......... .......... .......... .......... 47% 22.5M 20s 125000K .......... .......... .......... .......... .......... 47% 25.5M 20s 125050K .......... .......... .......... .......... .......... 47% 28.0M 20s 125100K .......... .......... .......... .......... .......... 47% 25.2M 20s 125150K .......... .......... .......... .......... .......... 47% 53.8M 20s 125200K .......... .......... .......... .......... .......... 47% 45.4M 20s 125250K .......... .......... .......... .......... .......... 47% 39.5M 20s 125300K .......... .......... .......... .......... .......... 47% 42.5M 20s 125350K .......... .......... .......... .......... .......... 47% 53.1M 20s 125400K .......... .......... .......... .......... .......... 47% 35.2M 20s 125450K .......... .......... .......... .......... .......... 47% 46.8M 20s 125500K .......... .......... .......... .......... .......... 47% 52.8M 20s 125550K .......... .......... .......... .......... .......... 47% 49.2M 20s 125600K .......... .......... .......... .......... .......... 47% 45.6M 20s 125650K .......... .......... .......... .......... .......... 47% 49.3M 20s 125700K .......... .......... .......... .......... .......... 47% 33.5M 20s 125750K .......... .......... .......... .......... .......... 47% 57.6M 20s 125800K .......... .......... .......... .......... .......... 47% 43.6M 20s 125850K .......... .......... .......... .......... .......... 47% 42.5M 20s 125900K .......... .......... .......... .......... .......... 47% 52.7M 20s 125950K .......... .......... .......... .......... .......... 47% 166K 20s 126000K .......... .......... .......... .......... .......... 47% 249M 20s 126050K .......... .......... .......... .......... .......... 47% 146M 20s 126100K .......... .......... .......... .......... .......... 47% 135M 20s 126150K .......... .......... .......... .......... .......... 47% 105M 20s 126200K .......... .......... .......... .......... .......... 47% 81.7M 20s 126250K .......... .......... .......... .......... .......... 47% 177M 20s 126300K .......... .......... .......... .......... .......... 47% 206M 20s 126350K .......... .......... .......... .......... .......... 47% 124M 20s 126400K .......... .......... .......... .......... .......... 47% 248M 20s 126450K .......... .......... .......... .......... .......... 47% 27.4M 20s 126500K .......... .......... .......... .......... .......... 47% 128M 20s 126550K .......... .......... .......... .......... .......... 48% 107M 20s 126600K .......... .......... .......... .......... .......... 48% 82.2M 20s 126650K .......... .......... .......... .......... .......... 48% 162M 20s 126700K .......... .......... .......... .......... .......... 48% 32.5M 20s 126750K .......... .......... .......... .......... .......... 48% 26.8M 20s 126800K .......... .......... .......... .......... .......... 48% 202M 20s 126850K .......... .......... .......... .......... .......... 48% 47.2M 20s 126900K .......... .......... .......... .......... .......... 48% 46.2M 20s 126950K .......... .......... .......... .......... .......... 48% 390K 20s 127000K .......... .......... .......... .......... .......... 48% 125M 20s 127050K .......... .......... .......... .......... .......... 48% 105M 20s 127100K .......... .......... .......... .......... .......... 48% 95.4M 20s 127150K .......... .......... .......... .......... .......... 48% 78.0M 20s 127200K .......... .......... .......... .......... .......... 48% 108M 20s 127250K .......... .......... .......... .......... .......... 48% 101M 20s 127300K .......... .......... .......... .......... .......... 48% 142M 20s 127350K .......... .......... .......... .......... .......... 48% 95.9M 20s 127400K .......... .......... .......... .......... .......... 48% 65.2M 20s 127450K .......... .......... .......... .......... .......... 48% 24.6M 20s 127500K .......... .......... .......... .......... .......... 48% 38.1M 20s 127550K .......... .......... .......... .......... .......... 48% 25.7M 20s 127600K .......... .......... .......... .......... .......... 48% 28.4M 20s 127650K .......... .......... .......... .......... .......... 48% 23.6M 20s 127700K .......... .......... .......... .......... .......... 48% 22.4M 20s 127750K .......... .......... .......... .......... .......... 48% 38.9M 20s 127800K .......... .......... .......... .......... .......... 48% 28.7M 20s 127850K .......... .......... .......... .......... .......... 48% 31.6M 20s 127900K .......... .......... .......... .......... .......... 48% 94.1M 20s 127950K .......... .......... .......... .......... .......... 48% 29.7M 20s 128000K .......... .......... .......... .......... .......... 48% 2.04M 20s 128050K .......... .......... .......... .......... .......... 48% 117M 20s 128100K .......... .......... .......... .......... .......... 48% 143M 20s 128150K .......... .......... .......... .......... .......... 48% 120M 20s 128200K .......... .......... .......... .......... .......... 48% 116M 20s 128250K .......... .......... .......... .......... .......... 48% 141M 20s 128300K .......... .......... .......... .......... .......... 48% 113M 20s 128350K .......... .......... .......... .......... .......... 48% 22.5M 20s 128400K .......... .......... .......... .......... .......... 48% 54.7M 20s 128450K .......... .......... .......... .......... .......... 48% 44.6M 20s 128500K .......... .......... .......... .......... .......... 48% 38.9M 20s 128550K .......... .......... .......... .......... .......... 48% 38.8M 20s 128600K .......... .......... .......... .......... .......... 48% 26.6M 20s 128650K .......... .......... .......... .......... .......... 48% 29.4M 20s 128700K .......... .......... .......... .......... .......... 48% 39.3M 20s 128750K .......... .......... .......... .......... .......... 48% 52.2M 20s 128800K .......... .......... .......... .......... .......... 48% 51.2M 20s 128850K .......... .......... .......... .......... .......... 48% 46.5M 20s 128900K .......... .......... .......... .......... .......... 48% 54.8M 20s 128950K .......... .......... .......... .......... .......... 48% 41.8M 20s 129000K .......... .......... .......... .......... .......... 48% 232K 20s 129050K .......... .......... .......... .......... .......... 48% 128M 20s 129100K .......... .......... .......... .......... .......... 48% 101M 20s 129150K .......... .......... .......... .......... .......... 48% 126M 20s 129200K .......... .......... .......... .......... .......... 49% 129M 20s 129250K .......... .......... .......... .......... .......... 49% 112M 20s 129300K .......... .......... .......... .......... .......... 49% 161M 20s 129350K .......... .......... .......... .......... .......... 49% 113M 20s 129400K .......... .......... .......... .......... .......... 49% 86.9M 20s 129450K .......... .......... .......... .......... .......... 49% 71.0M 20s 129500K .......... .......... .......... .......... .......... 49% 49.6M 20s 129550K .......... .......... .......... .......... .......... 49% 31.9M 20s 129600K .......... .......... .......... .......... .......... 49% 32.0M 20s 129650K .......... .......... .......... .......... .......... 49% 79.8M 20s 129700K .......... .......... .......... .......... .......... 49% 47.0M 20s 129750K .......... .......... .......... .......... .......... 49% 55.9M 20s 129800K .......... .......... .......... .......... .......... 49% 59.3M 20s 129850K .......... .......... .......... .......... .......... 49% 55.2M 19s 129900K .......... .......... .......... .......... .......... 49% 126M 19s 129950K .......... .......... .......... .......... .......... 49% 52.1M 19s 130000K .......... .......... .......... .......... .......... 49% 54.7M 19s 130050K .......... .......... .......... .......... .......... 49% 239K 20s 130100K .......... .......... .......... .......... .......... 49% 82.8M 20s 130150K .......... .......... .......... .......... .......... 49% 153M 20s 130200K .......... .......... .......... .......... .......... 49% 191M 20s 130250K .......... .......... .......... .......... .......... 49% 163M 20s 130300K .......... .......... .......... .......... .......... 49% 176M 20s 130350K .......... .......... .......... .......... .......... 49% 127M 20s 130400K .......... .......... .......... .......... .......... 49% 145M 20s 130450K .......... .......... .......... .......... .......... 49% 12.2M 20s 130500K .......... .......... .......... .......... .......... 49% 114M 20s 130550K .......... .......... .......... .......... .......... 49% 38.8M 20s 130600K .......... .......... .......... .......... .......... 49% 33.0M 20s 130650K .......... .......... .......... .......... .......... 49% 36.9M 19s 130700K .......... .......... .......... .......... .......... 49% 23.4M 19s 130750K .......... .......... .......... .......... .......... 49% 30.9M 19s 130800K .......... .......... .......... .......... .......... 49% 21.7M 19s 130850K .......... .......... .......... .......... .......... 49% 19.1M 19s 130900K .......... .......... .......... .......... .......... 49% 24.4M 19s 130950K .......... .......... .......... .......... .......... 49% 27.3M 19s 131000K .......... .......... .......... .......... .......... 49% 33.6M 19s 131050K .......... .......... .......... .......... .......... 49% 36.7M 19s 131100K .......... .......... .......... .......... .......... 49% 18.9M 19s 131150K .......... .......... .......... .......... .......... 49% 31.8M 19s 131200K .......... .......... .......... .......... .......... 49% 50.7M 19s 131250K .......... .......... .......... .......... .......... 49% 70.9M 19s 131300K .......... .......... .......... .......... .......... 49% 49.2M 19s 131350K .......... .......... .......... .......... .......... 49% 35.3M 19s 131400K .......... .......... .......... .......... .......... 49% 67.8M 19s 131450K .......... .......... .......... .......... .......... 49% 47.4M 19s 131500K .......... .......... .......... .......... .......... 49% 63.1M 19s 131550K .......... .......... .......... .......... .......... 49% 56.8M 19s 131600K .......... .......... .......... .......... .......... 49% 48.6M 19s 131650K .......... .......... .......... .......... .......... 49% 58.0M 19s 131700K .......... .......... .......... .......... .......... 49% 45.4M 19s 131750K .......... .......... .......... .......... .......... 49% 69.8M 19s 131800K .......... .......... .......... .......... .......... 49% 56.2M 19s 131850K .......... .......... .......... .......... .......... 50% 52.3M 19s 131900K .......... .......... .......... .......... .......... 50% 132M 19s 131950K .......... .......... .......... .......... .......... 50% 58.3M 19s 132000K .......... .......... .......... .......... .......... 50% 87.0M 19s 132050K .......... .......... .......... .......... .......... 50% 102M 19s 132100K .......... .......... .......... .......... .......... 50% 297K 19s 132150K .......... .......... .......... .......... .......... 50% 108M 19s 132200K .......... .......... .......... .......... .......... 50% 98.4M 19s 132250K .......... .......... .......... .......... .......... 50% 111M 19s 132300K .......... .......... .......... .......... .......... 50% 157M 19s 132350K .......... .......... .......... .......... .......... 50% 150M 19s 132400K .......... .......... .......... .......... .......... 50% 135M 19s 132450K .......... .......... .......... .......... .......... 50% 112M 19s 132500K .......... .......... .......... .......... .......... 50% 151M 19s 132550K .......... .......... .......... .......... .......... 50% 148M 19s 132600K .......... .......... .......... .......... .......... 50% 136M 19s 132650K .......... .......... .......... .......... .......... 50% 112M 19s 132700K .......... .......... .......... .......... .......... 50% 42.5M 19s 132750K .......... .......... .......... .......... .......... 50% 81.4M 19s 132800K .......... .......... .......... .......... .......... 50% 45.0M 19s 132850K .......... .......... .......... .......... .......... 50% 97.3M 19s 132900K .......... .......... .......... .......... .......... 50% 66.3M 19s 132950K .......... .......... .......... .......... .......... 50% 65.6M 19s 133000K .......... .......... .......... .......... .......... 50% 44.1M 19s 133050K .......... .......... .......... .......... .......... 50% 84.5M 19s 133100K .......... .......... .......... .......... .......... 50% 283K 19s 133150K .......... .......... .......... .......... .......... 50% 148M 19s 133200K .......... .......... .......... .......... .......... 50% 170M 19s 133250K .......... .......... .......... .......... .......... 50% 145M 19s 133300K .......... .......... .......... .......... .......... 50% 228M 19s 133350K .......... .......... .......... .......... .......... 50% 148M 19s 133400K .......... .......... .......... .......... .......... 50% 168M 19s 133450K .......... .......... .......... .......... .......... 50% 210M 19s 133500K .......... .......... .......... .......... .......... 50% 15.5M 19s 133550K .......... .......... .......... .......... .......... 50% 92.7M 19s 133600K .......... .......... .......... .......... .......... 50% 29.7M 19s 133650K .......... .......... .......... .......... .......... 50% 136M 19s 133700K .......... .......... .......... .......... .......... 50% 72.3M 19s 133750K .......... .......... .......... .......... .......... 50% 24.6M 19s 133800K .......... .......... .......... .......... .......... 50% 31.4M 19s 133850K .......... .......... .......... .......... .......... 50% 39.8M 19s 133900K .......... .......... .......... .......... .......... 50% 25.0M 19s 133950K .......... .......... .......... .......... .......... 50% 30.5M 19s 134000K .......... .......... .......... .......... .......... 50% 39.8M 19s 134050K .......... .......... .......... .......... .......... 50% 49.3M 19s 134100K .......... .......... .......... .......... .......... 50% 27.8M 19s 134150K .......... .......... .......... .......... .......... 50% 27.0M 19s 134200K .......... .......... .......... .......... .......... 50% 48.7M 19s 134250K .......... .......... .......... .......... .......... 50% 23.7M 19s 134300K .......... .......... .......... .......... .......... 50% 29.1M 19s 134350K .......... .......... .......... .......... .......... 50% 30.8M 19s 134400K .......... .......... .......... .......... .......... 50% 59.9M 19s 134450K .......... .......... .......... .......... .......... 51% 32.2M 19s 134500K .......... .......... .......... .......... .......... 51% 33.0M 19s 134550K .......... .......... .......... .......... .......... 51% 28.4M 19s 134600K .......... .......... .......... .......... .......... 51% 30.4M 19s 134650K .......... .......... .......... .......... .......... 51% 38.1M 19s 134700K .......... .......... .......... .......... .......... 51% 38.2M 19s 134750K .......... .......... .......... .......... .......... 51% 36.4M 19s 134800K .......... .......... .......... .......... .......... 51% 39.3M 19s 134850K .......... .......... .......... .......... .......... 51% 35.6M 19s 134900K .......... .......... .......... .......... .......... 51% 54.8M 19s 134950K .......... .......... .......... .......... .......... 51% 59.8M 19s 135000K .......... .......... .......... .......... .......... 51% 47.8M 19s 135050K .......... .......... .......... .......... .......... 51% 63.2M 19s 135100K .......... .......... .......... .......... .......... 51% 74.5M 19s 135150K .......... .......... .......... .......... .......... 51% 2.34M 19s 135200K .......... .......... .......... .......... .......... 51% 126M 19s 135250K .......... .......... .......... .......... .......... 51% 173M 19s 135300K .......... .......... .......... .......... .......... 51% 134M 19s 135350K .......... .......... .......... .......... .......... 51% 110M 19s 135400K .......... .......... .......... .......... .......... 51% 144M 19s 135450K .......... .......... .......... .......... .......... 51% 117M 19s 135500K .......... .......... .......... .......... .......... 51% 109M 19s 135550K .......... .......... .......... .......... .......... 51% 124M 19s 135600K .......... .......... .......... .......... .......... 51% 118M 19s 135650K .......... .......... .......... .......... .......... 51% 129M 18s 135700K .......... .......... .......... .......... .......... 51% 105M 18s 135750K .......... .......... .......... .......... .......... 51% 103M 18s 135800K .......... .......... .......... .......... .......... 51% 88.0M 18s 135850K .......... .......... .......... .......... .......... 51% 74.3M 18s 135900K .......... .......... .......... .......... .......... 51% 101M 18s 135950K .......... .......... .......... .......... .......... 51% 53.6M 18s 136000K .......... .......... .......... .......... .......... 51% 122M 18s 136050K .......... .......... .......... .......... .......... 51% 43.8M 18s 136100K .......... .......... .......... .......... .......... 51% 92.8M 18s 136150K .......... .......... .......... .......... .......... 51% 263K 19s 136200K .......... .......... .......... .......... .......... 51% 119M 19s 136250K .......... .......... .......... .......... .......... 51% 86.1M 19s 136300K .......... .......... .......... .......... .......... 51% 111M 19s 136350K .......... .......... .......... .......... .......... 51% 102M 18s 136400K .......... .......... .......... .......... .......... 51% 81.0M 18s 136450K .......... .......... .......... .......... .......... 51% 108M 18s 136500K .......... .......... .......... .......... .......... 51% 122M 18s 136550K .......... .......... .......... .......... .......... 51% 88.9M 18s 136600K .......... .......... .......... .......... .......... 51% 82.1M 18s 136650K .......... .......... .......... .......... .......... 51% 112M 18s 136700K .......... .......... .......... .......... .......... 51% 79.9M 18s 136750K .......... .......... .......... .......... .......... 51% 142M 18s 136800K .......... .......... .......... .......... .......... 51% 199M 18s 136850K .......... .......... .......... .......... .......... 51% 82.1M 18s 136900K .......... .......... .......... .......... .......... 51% 56.8M 18s 136950K .......... .......... .......... .......... .......... 51% 62.8M 18s 137000K .......... .......... .......... .......... .......... 51% 76.3M 18s 137050K .......... .......... .......... .......... .......... 51% 84.0M 18s 137100K .......... .......... .......... .......... .......... 52% 74.3M 18s 137150K .......... .......... .......... .......... .......... 52% 125M 18s 137200K .......... .......... .......... .......... .......... 52% 357K 18s 137250K .......... .......... .......... .......... .......... 52% 182M 18s 137300K .......... .......... .......... .......... .......... 52% 137M 18s 137350K .......... .......... .......... .......... .......... 52% 130M 18s 137400K .......... .......... .......... .......... .......... 52% 90.3M 18s 137450K .......... .......... .......... .......... .......... 52% 132M 18s 137500K .......... .......... .......... .......... .......... 52% 103M 18s 137550K .......... .......... .......... .......... .......... 52% 121M 18s 137600K .......... .......... .......... .......... .......... 52% 122M 18s 137650K .......... .......... .......... .......... .......... 52% 106M 18s 137700K .......... .......... .......... .......... .......... 52% 109M 18s 137750K .......... .......... .......... .......... .......... 52% 103M 18s 137800K .......... .......... .......... .......... .......... 52% 140M 18s 137850K .......... .......... .......... .......... .......... 52% 67.3M 18s 137900K .......... .......... .......... .......... .......... 52% 55.6M 18s 137950K .......... .......... .......... .......... .......... 52% 52.0M 18s 138000K .......... .......... .......... .......... .......... 52% 14.9M 18s 138050K .......... .......... .......... .......... .......... 52% 184M 18s 138100K .......... .......... .......... .......... .......... 52% 107M 18s 138150K .......... .......... .......... .......... .......... 52% 45.7M 18s 138200K .......... .......... .......... .......... .......... 52% 32.5M 18s 138250K .......... .......... .......... .......... .......... 52% 32.0M 18s 138300K .......... .......... .......... .......... .......... 52% 46.2M 18s 138350K .......... .......... .......... .......... .......... 52% 29.8M 18s 138400K .......... .......... .......... .......... .......... 52% 28.9M 18s 138450K .......... .......... .......... .......... .......... 52% 25.7M 18s 138500K .......... .......... .......... .......... .......... 52% 63.6M 18s 138550K .......... .......... .......... .......... .......... 52% 20.0M 18s 138600K .......... .......... .......... .......... .......... 52% 39.9M 18s 138650K .......... .......... .......... .......... .......... 52% 79.8M 18s 138700K .......... .......... .......... .......... .......... 52% 42.8M 18s 138750K .......... .......... .......... .......... .......... 52% 47.5M 18s 138800K .......... .......... .......... .......... .......... 52% 110M 18s 138850K .......... .......... .......... .......... .......... 52% 42.7M 18s 138900K .......... .......... .......... .......... .......... 52% 48.6M 18s 138950K .......... .......... .......... .......... .......... 52% 33.1M 18s 139000K .......... .......... .......... .......... .......... 52% 99.2M 18s 139050K .......... .......... .......... .......... .......... 52% 32.1M 18s 139100K .......... .......... .......... .......... .......... 52% 34.0M 18s 139150K .......... .......... .......... .......... .......... 52% 85.1M 18s 139200K .......... .......... .......... .......... .......... 52% 32.1M 18s 139250K .......... .......... .......... .......... .......... 52% 268K 18s 139300K .......... .......... .......... .......... .......... 52% 131M 18s 139350K .......... .......... .......... .......... .......... 52% 133M 18s 139400K .......... .......... .......... .......... .......... 52% 174M 18s 139450K .......... .......... .......... .......... .......... 52% 105M 18s 139500K .......... .......... .......... .......... .......... 52% 125M 18s 139550K .......... .......... .......... .......... .......... 52% 191M 18s 139600K .......... .......... .......... .......... .......... 52% 121M 18s 139650K .......... .......... .......... .......... .......... 52% 129M 18s 139700K .......... .......... .......... .......... .......... 52% 135M 18s 139750K .......... .......... .......... .......... .......... 53% 136M 18s 139800K .......... .......... .......... .......... .......... 53% 72.7M 18s 139850K .......... .......... .......... .......... .......... 53% 74.5M 18s 139900K .......... .......... .......... .......... .......... 53% 62.6M 18s 139950K .......... .......... .......... .......... .......... 53% 46.3M 18s 140000K .......... .......... .......... .......... .......... 53% 79.3M 18s 140050K .......... .......... .......... .......... .......... 53% 122M 18s 140100K .......... .......... .......... .......... .......... 53% 34.3M 18s 140150K .......... .......... .......... .......... .......... 53% 108M 18s 140200K .......... .......... .......... .......... .......... 53% 58.2M 18s 140250K .......... .......... .......... .......... .......... 53% 252K 18s 140300K .......... .......... .......... .......... .......... 53% 162M 18s 140350K .......... .......... .......... .......... .......... 53% 93.4M 18s 140400K .......... .......... .......... .......... .......... 53% 114M 18s 140450K .......... .......... .......... .......... .......... 53% 124M 18s 140500K .......... .......... .......... .......... .......... 53% 124M 18s 140550K .......... .......... .......... .......... .......... 53% 129M 18s 140600K .......... .......... .......... .......... .......... 53% 122M 18s 140650K .......... .......... .......... .......... .......... 53% 169M 18s 140700K .......... .......... .......... .......... .......... 53% 86.0M 18s 140750K .......... .......... .......... .......... .......... 53% 13.6M 18s 140800K .......... .......... .......... .......... .......... 53% 99.2M 18s 140850K .......... .......... .......... .......... .......... 53% 59.9M 18s 140900K .......... .......... .......... .......... .......... 53% 130M 18s 140950K .......... .......... .......... .......... .......... 53% 24.1M 18s 141000K .......... .......... .......... .......... .......... 53% 97.3M 18s 141050K .......... .......... .......... .......... .......... 53% 31.3M 18s 141100K .......... .......... .......... .......... .......... 53% 62.3M 18s 141150K .......... .......... .......... .......... .......... 53% 30.1M 18s 141200K .......... .......... .......... .......... .......... 53% 30.3M 18s 141250K .......... .......... .......... .......... .......... 53% 61.3M 18s 141300K .......... .......... .......... .......... .......... 53% 1.82M 18s 141350K .......... .......... .......... .......... .......... 53% 108M 18s 141400K .......... .......... .......... .......... .......... 53% 158M 18s 141450K .......... .......... .......... .......... .......... 53% 119M 18s 141500K .......... .......... .......... .......... .......... 53% 107M 18s 141550K .......... .......... .......... .......... .......... 53% 100M 18s 141600K .......... .......... .......... .......... .......... 53% 114M 18s 141650K .......... .......... .......... .......... .......... 53% 115M 18s 141700K .......... .......... .......... .......... .......... 53% 115M 18s 141750K .......... .......... .......... .......... .......... 53% 121M 18s 141800K .......... .......... .......... .......... .......... 53% 149M 18s 141850K .......... .......... .......... .......... .......... 53% 73.1M 18s 141900K .......... .......... .......... .......... .......... 53% 56.8M 18s 141950K .......... .......... .......... .......... .......... 53% 47.2M 18s 142000K .......... .......... .......... .......... .......... 53% 108M 18s 142050K .......... .......... .......... .......... .......... 53% 66.8M 17s 142100K .......... .......... .......... .......... .......... 53% 81.5M 17s 142150K .......... .......... .......... .......... .......... 53% 66.7M 17s 142200K .......... .......... .......... .......... .......... 53% 46.2M 17s 142250K .......... .......... .......... .......... .......... 53% 72.0M 17s 142300K .......... .......... .......... .......... .......... 53% 373K 18s 142350K .......... .......... .......... .......... .......... 53% 138M 18s 142400K .......... .......... .......... .......... .......... 54% 204M 18s 142450K .......... .......... .......... .......... .......... 54% 86.6M 18s 142500K .......... .......... .......... .......... .......... 54% 102M 17s 142550K .......... .......... .......... .......... .......... 54% 97.1M 17s 142600K .......... .......... .......... .......... .......... 54% 115M 17s 142650K .......... .......... .......... .......... .......... 54% 109M 17s 142700K .......... .......... .......... .......... .......... 54% 117M 17s 142750K .......... .......... .......... .......... .......... 54% 98.8M 17s 142800K .......... .......... .......... .......... .......... 54% 144M 17s 142850K .......... .......... .......... .......... .......... 54% 161M 17s 142900K .......... .......... .......... .......... .......... 54% 194M 17s 142950K .......... .......... .......... .......... .......... 54% 54.0M 17s 143000K .......... .......... .......... .......... .......... 54% 69.3M 17s 143050K .......... .......... .......... .......... .......... 54% 78.6M 17s 143100K .......... .......... .......... .......... .......... 54% 51.2M 17s 143150K .......... .......... .......... .......... .......... 54% 46.0M 17s 143200K .......... .......... .......... .......... .......... 54% 26.2M 17s 143250K .......... .......... .......... .......... .......... 54% 128M 17s 143300K .......... .......... .......... .......... .......... 54% 164M 17s 143350K .......... .......... .......... .......... .......... 54% 198K 17s 143400K .......... .......... .......... .......... .......... 54% 129M 17s 143450K .......... .......... .......... .......... .......... 54% 101M 17s 143500K .......... .......... .......... .......... .......... 54% 102M 17s 143550K .......... .......... .......... .......... .......... 54% 123M 17s 143600K .......... .......... .......... .......... .......... 54% 100M 17s 143650K .......... .......... .......... .......... .......... 54% 126M 17s 143700K .......... .......... .......... .......... .......... 54% 109M 17s 143750K .......... .......... .......... .......... .......... 54% 163M 17s 143800K .......... .......... .......... .......... .......... 54% 26.4M 17s 143850K .......... .......... .......... .......... .......... 54% 25.2M 17s 143900K .......... .......... .......... .......... .......... 54% 94.1M 17s 143950K .......... .......... .......... .......... .......... 54% 20.9M 17s 144000K .......... .......... .......... .......... .......... 54% 23.4M 17s 144050K .......... .......... .......... .......... .......... 54% 27.2M 17s 144100K .......... .......... .......... .......... .......... 54% 27.6M 17s 144150K .......... .......... .......... .......... .......... 54% 37.2M 17s 144200K .......... .......... .......... .......... .......... 54% 30.4M 17s 144250K .......... .......... .......... .......... .......... 54% 41.3M 17s 144300K .......... .......... .......... .......... .......... 54% 44.5M 17s 144350K .......... .......... .......... .......... .......... 54% 23.7M 17s 144400K .......... .......... .......... .......... .......... 54% 33.4M 17s 144450K .......... .......... .......... .......... .......... 54% 53.4M 17s 144500K .......... .......... .......... .......... .......... 54% 45.6M 17s 144550K .......... .......... .......... .......... .......... 54% 36.6M 17s 144600K .......... .......... .......... .......... .......... 54% 35.5M 17s 144650K .......... .......... .......... .......... .......... 54% 32.9M 17s 144700K .......... .......... .......... .......... .......... 54% 48.7M 17s 144750K .......... .......... .......... .......... .......... 54% 60.1M 17s 144800K .......... .......... .......... .......... .......... 54% 88.0M 17s 144850K .......... .......... .......... .......... .......... 54% 52.6M 17s 144900K .......... .......... .......... .......... .......... 54% 22.4M 17s 144950K .......... .......... .......... .......... .......... 54% 101M 17s 145000K .......... .......... .......... .......... .......... 55% 104M 17s 145050K .......... .......... .......... .......... .......... 55% 133M 17s 145100K .......... .......... .......... .......... .......... 55% 121M 17s 145150K .......... .......... .......... .......... .......... 55% 102M 17s 145200K .......... .......... .......... .......... .......... 55% 72.3M 17s 145250K .......... .......... .......... .......... .......... 55% 50.9M 17s 145300K .......... .......... .......... .......... .......... 55% 78.8M 17s 145350K .......... .......... .......... .......... .......... 55% 54.7M 17s 145400K .......... .......... .......... .......... .......... 55% 461K 17s 145450K .......... .......... .......... .......... .......... 55% 133M 17s 145500K .......... .......... .......... .......... .......... 55% 118M 17s 145550K .......... .......... .......... .......... .......... 55% 127M 17s 145600K .......... .......... .......... .......... .......... 55% 121M 17s 145650K .......... .......... .......... .......... .......... 55% 145M 17s 145700K .......... .......... .......... .......... .......... 55% 160M 17s 145750K .......... .......... .......... .......... .......... 55% 154M 17s 145800K .......... .......... .......... .......... .......... 55% 27.4M 17s 145850K .......... .......... .......... .......... .......... 55% 32.0M 17s 145900K .......... .......... .......... .......... .......... 55% 33.7M 17s 145950K .......... .......... .......... .......... .......... 55% 19.5M 17s 146000K .......... .......... .......... .......... .......... 55% 57.0M 17s 146050K .......... .......... .......... .......... .......... 55% 25.5M 17s 146100K .......... .......... .......... .......... .......... 55% 21.6M 17s 146150K .......... .......... .......... .......... .......... 55% 36.6M 17s 146200K .......... .......... .......... .......... .......... 55% 23.5M 17s 146250K .......... .......... .......... .......... .......... 55% 26.1M 17s 146300K .......... .......... .......... .......... .......... 55% 37.4M 17s 146350K .......... .......... .......... .......... .......... 55% 21.8M 17s 146400K .......... .......... .......... .......... .......... 55% 36.7M 17s 146450K .......... .......... .......... .......... .......... 55% 22.2M 17s 146500K .......... .......... .......... .......... .......... 55% 23.3M 17s 146550K .......... .......... .......... .......... .......... 55% 38.9M 17s 146600K .......... .......... .......... .......... .......... 55% 27.5M 17s 146650K .......... .......... .......... .......... .......... 55% 37.5M 17s 146700K .......... .......... .......... .......... .......... 55% 19.6M 17s 146750K .......... .......... .......... .......... .......... 55% 27.4M 17s 146800K .......... .......... .......... .......... .......... 55% 45.8M 17s 146850K .......... .......... .......... .......... .......... 55% 22.8M 17s 146900K .......... .......... .......... .......... .......... 55% 26.7M 17s 146950K .......... .......... .......... .......... .......... 55% 28.8M 17s 147000K .......... .......... .......... .......... .......... 55% 29.4M 17s 147050K .......... .......... .......... .......... .......... 55% 35.6M 17s 147100K .......... .......... .......... .......... .......... 55% 48.2M 17s 147150K .......... .......... .......... .......... .......... 55% 105M 17s 147200K .......... .......... .......... .......... .......... 55% 35.5M 17s 147250K .......... .......... .......... .......... .......... 55% 23.1M 17s 147300K .......... .......... .......... .......... .......... 55% 144M 17s 147350K .......... .......... .......... .......... .......... 55% 127M 17s 147400K .......... .......... .......... .......... .......... 55% 94.6M 17s 147450K .......... .......... .......... .......... .......... 55% 389K 17s 147500K .......... .......... .......... .......... .......... 55% 149M 17s 147550K .......... .......... .......... .......... .......... 55% 164M 17s 147600K .......... .......... .......... .......... .......... 55% 135M 17s 147650K .......... .......... .......... .......... .......... 56% 124M 17s 147700K .......... .......... .......... .......... .......... 56% 111M 17s 147750K .......... .......... .......... .......... .......... 56% 87.7M 17s 147800K .......... .......... .......... .......... .......... 56% 168M 17s 147850K .......... .......... .......... .......... .......... 56% 127M 17s 147900K .......... .......... .......... .......... .......... 56% 83.8M 17s 147950K .......... .......... .......... .......... .......... 56% 40.5M 17s 148000K .......... .......... .......... .......... .......... 56% 61.3M 17s 148050K .......... .......... .......... .......... .......... 56% 58.5M 17s 148100K .......... .......... .......... .......... .......... 56% 43.4M 17s 148150K .......... .......... .......... .......... .......... 56% 52.3M 17s 148200K .......... .......... .......... .......... .......... 56% 40.2M 17s 148250K .......... .......... .......... .......... .......... 56% 48.1M 16s 148300K .......... .......... .......... .......... .......... 56% 46.5M 16s 148350K .......... .......... .......... .......... .......... 56% 42.4M 16s 148400K .......... .......... .......... .......... .......... 56% 129M 16s 148450K .......... .......... .......... .......... .......... 56% 257K 17s 148500K .......... .......... .......... .......... .......... 56% 178M 17s 148550K .......... .......... .......... .......... .......... 56% 149M 17s 148600K .......... .......... .......... .......... .......... 56% 123M 17s 148650K .......... .......... .......... .......... .......... 56% 114M 17s 148700K .......... .......... .......... .......... .......... 56% 107M 17s 148750K .......... .......... .......... .......... .......... 56% 146M 17s 148800K .......... .......... .......... .......... .......... 56% 154M 17s 148850K .......... .......... .......... .......... .......... 56% 127M 16s 148900K .......... .......... .......... .......... .......... 56% 130M 16s 148950K .......... .......... .......... .......... .......... 56% 109M 16s 149000K .......... .......... .......... .......... .......... 56% 132M 16s 149050K .......... .......... .......... .......... .......... 56% 50.4M 16s 149100K .......... .......... .......... .......... .......... 56% 31.8M 16s 149150K .......... .......... .......... .......... .......... 56% 51.5M 16s 149200K .......... .......... .......... .......... .......... 56% 46.6M 16s 149250K .......... .......... .......... .......... .......... 56% 76.3M 16s 149300K .......... .......... .......... .......... .......... 56% 49.5M 16s 149350K .......... .......... .......... .......... .......... 56% 66.4M 16s 149400K .......... .......... .......... .......... .......... 56% 41.9M 16s 149450K .......... .......... .......... .......... .......... 56% 51.2M 16s 149500K .......... .......... .......... .......... .......... 56% 74.3M 16s 149550K .......... .......... .......... .......... .......... 56% 30.3M 16s 149600K .......... .......... .......... .......... .......... 56% 42.9M 16s 149650K .......... .......... .......... .......... .......... 56% 88.1M 16s 149700K .......... .......... .......... .......... .......... 56% 52.6M 16s 149750K .......... .......... .......... .......... .......... 56% 102M 16s 149800K .......... .......... .......... .......... .......... 56% 43.1M 16s 149850K .......... .......... .......... .......... .......... 56% 93.2M 16s 149900K .......... .......... .......... .......... .......... 56% 51.9M 16s 149950K .......... .......... .......... .......... .......... 56% 41.8M 16s 150000K .......... .......... .......... .......... .......... 56% 35.4M 16s 150050K .......... .......... .......... .......... .......... 56% 100M 16s 150100K .......... .......... .......... .......... .......... 56% 88.3M 16s 150150K .......... .......... .......... .......... .......... 56% 76.9M 16s 150200K .......... .......... .......... .......... .......... 56% 46.8M 16s 150250K .......... .......... .......... .......... .......... 56% 47.2M 16s 150300K .......... .......... .......... .......... .......... 57% 48.8M 16s 150350K .......... .......... .......... .......... .......... 57% 52.7M 16s 150400K .......... .......... .......... .......... .......... 57% 53.0M 16s 150450K .......... .......... .......... .......... .......... 57% 36.2M 16s 150500K .......... .......... .......... .......... .......... 57% 209K 16s 150550K .......... .......... .......... .......... .......... 57% 164M 16s 150600K .......... .......... .......... .......... .......... 57% 113M 16s 150650K .......... .......... .......... .......... .......... 57% 125M 16s 150700K .......... .......... .......... .......... .......... 57% 102M 16s 150750K .......... .......... .......... .......... .......... 57% 126M 16s 150800K .......... .......... .......... .......... .......... 57% 150M 16s 150850K .......... .......... .......... .......... .......... 57% 122M 16s 150900K .......... .......... .......... .......... .......... 57% 148M 16s 150950K .......... .......... .......... .......... .......... 57% 108M 16s 151000K .......... .......... .......... .......... .......... 57% 121M 16s 151050K .......... .......... .......... .......... .......... 57% 80.7M 16s 151100K .......... .......... .......... .......... .......... 57% 47.5M 16s 151150K .......... .......... .......... .......... .......... 57% 59.0M 16s 151200K .......... .......... .......... .......... .......... 57% 52.7M 16s 151250K .......... .......... .......... .......... .......... 57% 45.9M 16s 151300K .......... .......... .......... .......... .......... 57% 109M 16s 151350K .......... .......... .......... .......... .......... 57% 34.8M 16s 151400K .......... .......... .......... .......... .......... 57% 18.6M 16s 151450K .......... .......... .......... .......... .......... 57% 94.8M 16s 151500K .......... .......... .......... .......... .......... 57% 107M 16s 151550K .......... .......... .......... .......... .......... 57% 310K 16s 151600K .......... .......... .......... .......... .......... 57% 140M 16s 151650K .......... .......... .......... .......... .......... 57% 148M 16s 151700K .......... .......... .......... .......... .......... 57% 108M 16s 151750K .......... .......... .......... .......... .......... 57% 127M 16s 151800K .......... .......... .......... .......... .......... 57% 146M 16s 151850K .......... .......... .......... .......... .......... 57% 124M 16s 151900K .......... .......... .......... .......... .......... 57% 121M 16s 151950K .......... .......... .......... .......... .......... 57% 151M 16s 152000K .......... .......... .......... .......... .......... 57% 22.7M 16s 152050K .......... .......... .......... .......... .......... 57% 134M 16s 152100K .......... .......... .......... .......... .......... 57% 25.6M 16s 152150K .......... .......... .......... .......... .......... 57% 48.4M 16s 152200K .......... .......... .......... .......... .......... 57% 24.6M 16s 152250K .......... .......... .......... .......... .......... 57% 37.2M 16s 152300K .......... .......... .......... .......... .......... 57% 25.9M 16s 152350K .......... .......... .......... .......... .......... 57% 35.3M 16s 152400K .......... .......... .......... .......... .......... 57% 23.6M 16s 152450K .......... .......... .......... .......... .......... 57% 33.3M 16s 152500K .......... .......... .......... .......... .......... 57% 39.0M 16s 152550K .......... .......... .......... .......... .......... 57% 25.0M 16s 152600K .......... .......... .......... .......... .......... 57% 38.1M 16s 152650K .......... .......... .......... .......... .......... 57% 22.2M 16s 152700K .......... .......... .......... .......... .......... 57% 41.1M 16s 152750K .......... .......... .......... .......... .......... 57% 18.2M 16s 152800K .......... .......... .......... .......... .......... 57% 38.7M 16s 152850K .......... .......... .......... .......... .......... 57% 40.4M 16s 152900K .......... .......... .......... .......... .......... 57% 34.3M 16s 152950K .......... .......... .......... .......... .......... 58% 23.0M 16s 153000K .......... .......... .......... .......... .......... 58% 38.0M 16s 153050K .......... .......... .......... .......... .......... 58% 45.0M 16s 153100K .......... .......... .......... .......... .......... 58% 42.3M 16s 153150K .......... .......... .......... .......... .......... 58% 38.1M 16s 153200K .......... .......... .......... .......... .......... 58% 40.2M 16s 153250K .......... .......... .......... .......... .......... 58% 114M 16s 153300K .......... .......... .......... .......... .......... 58% 8.35M 16s 153350K .......... .......... .......... .......... .......... 58% 84.6M 16s 153400K .......... .......... .......... .......... .......... 58% 162M 16s 153450K .......... .......... .......... .......... .......... 58% 146M 16s 153500K .......... .......... .......... .......... .......... 58% 173M 16s 153550K .......... .......... .......... .......... .......... 58% 152M 16s 153600K .......... .......... .......... .......... .......... 58% 408K 16s 153650K .......... .......... .......... .......... .......... 58% 186M 16s 153700K .......... .......... .......... .......... .......... 58% 89.4M 16s 153750K .......... .......... .......... .......... .......... 58% 133M 16s 153800K .......... .......... .......... .......... .......... 58% 136M 16s 153850K .......... .......... .......... .......... .......... 58% 93.2M 16s 153900K .......... .......... .......... .......... .......... 58% 160M 16s 153950K .......... .......... .......... .......... .......... 58% 91.4M 16s 154000K .......... .......... .......... .......... .......... 58% 182M 16s 154050K .......... .......... .......... .......... .......... 58% 105M 16s 154100K .......... .......... .......... .......... .......... 58% 114M 16s 154150K .......... .......... .......... .......... .......... 58% 123M 16s 154200K .......... .......... .......... .......... .......... 58% 122M 16s 154250K .......... .......... .......... .......... .......... 58% 63.3M 16s 154300K .......... .......... .......... .......... .......... 58% 59.8M 16s 154350K .......... .......... .......... .......... .......... 58% 72.1M 16s 154400K .......... .......... .......... .......... .......... 58% 70.9M 16s 154450K .......... .......... .......... .......... .......... 58% 74.2M 16s 154500K .......... .......... .......... .......... .......... 58% 89.4M 16s 154550K .......... .......... .......... .......... .......... 58% 78.6M 16s 154600K .......... .......... .......... .......... .......... 58% 209K 16s 154650K .......... .......... .......... .......... .......... 58% 145M 16s 154700K .......... .......... .......... .......... .......... 58% 102M 16s 154750K .......... .......... .......... .......... .......... 58% 132M 16s 154800K .......... .......... .......... .......... .......... 58% 123M 16s 154850K .......... .......... .......... .......... .......... 58% 140M 16s 154900K .......... .......... .......... .......... .......... 58% 139M 16s 154950K .......... .......... .......... .......... .......... 58% 93.5M 16s 155000K .......... .......... .......... .......... .......... 58% 100M 16s 155050K .......... .......... .......... .......... .......... 58% 126M 16s 155100K .......... .......... .......... .......... .......... 58% 81.5M 16s 155150K .......... .......... .......... .......... .......... 58% 24.3M 16s 155200K .......... .......... .......... .......... .......... 58% 134M 16s 155250K .......... .......... .......... .......... .......... 58% 142M 16s 155300K .......... .......... .......... .......... .......... 58% 55.1M 16s 155350K .......... .......... .......... .......... .......... 58% 15.8M 16s 155400K .......... .......... .......... .......... .......... 58% 113M 16s 155450K .......... .......... .......... .......... .......... 58% 56.4M 15s 155500K .......... .......... .......... .......... .......... 58% 19.8M 15s 155550K .......... .......... .......... .......... .......... 59% 62.4M 15s 155600K .......... .......... .......... .......... .......... 59% 23.9M 15s 155650K .......... .......... .......... .......... .......... 59% 22.8M 15s 155700K .......... .......... .......... .......... .......... 59% 42.4M 15s 155750K .......... .......... .......... .......... .......... 59% 48.7M 15s 155800K .......... .......... .......... .......... .......... 59% 38.2M 15s 155850K .......... .......... .......... .......... .......... 59% 40.4M 15s 155900K .......... .......... .......... .......... .......... 59% 42.3M 15s 155950K .......... .......... .......... .......... .......... 59% 42.5M 15s 156000K .......... .......... .......... .......... .......... 59% 47.5M 15s 156050K .......... .......... .......... .......... .......... 59% 50.8M 15s 156100K .......... .......... .......... .......... .......... 59% 33.0M 15s 156150K .......... .......... .......... .......... .......... 59% 36.6M 15s 156200K .......... .......... .......... .......... .......... 59% 37.0M 15s 156250K .......... .......... .......... .......... .......... 59% 33.4M 15s 156300K .......... .......... .......... .......... .......... 59% 42.7M 15s 156350K .......... .......... .......... .......... .......... 59% 36.5M 15s 156400K .......... .......... .......... .......... .......... 59% 38.2M 15s 156450K .......... .......... .......... .......... .......... 59% 36.1M 15s 156500K .......... .......... .......... .......... .......... 59% 85.7M 15s 156550K .......... .......... .......... .......... .......... 59% 35.4M 15s 156600K .......... .......... .......... .......... .......... 59% 35.2M 15s 156650K .......... .......... .......... .......... .......... 59% 231K 15s 156700K .......... .......... .......... .......... .......... 59% 166M 15s 156750K .......... .......... .......... .......... .......... 59% 115M 15s 156800K .......... .......... .......... .......... .......... 59% 126M 15s 156850K .......... .......... .......... .......... .......... 59% 92.0M 15s 156900K .......... .......... .......... .......... .......... 59% 181M 15s 156950K .......... .......... .......... .......... .......... 59% 102M 15s 157000K .......... .......... .......... .......... .......... 59% 127M 15s 157050K .......... .......... .......... .......... .......... 59% 104M 15s 157100K .......... .......... .......... .......... .......... 59% 24.2M 15s 157150K .......... .......... .......... .......... .......... 59% 23.5M 15s 157200K .......... .......... .......... .......... .......... 59% 17.5M 15s 157250K .......... .......... .......... .......... .......... 59% 25.0M 15s 157300K .......... .......... .......... .......... .......... 59% 24.9M 15s 157350K .......... .......... .......... .......... .......... 59% 20.9M 15s 157400K .......... .......... .......... .......... .......... 59% 32.9M 15s 157450K .......... .......... .......... .......... .......... 59% 18.0M 15s 157500K .......... .......... .......... .......... .......... 59% 26.2M 15s 157550K .......... .......... .......... .......... .......... 59% 23.4M 15s 157600K .......... .......... .......... .......... .......... 59% 21.5M 15s 157650K .......... .......... .......... .......... .......... 59% 25.5M 15s 157700K .......... .......... .......... .......... .......... 59% 189K 15s 157750K .......... .......... .......... .......... .......... 59% 154M 15s 157800K .......... .......... .......... .......... .......... 59% 124M 15s 157850K .......... .......... .......... .......... .......... 59% 109M 15s 157900K .......... .......... .......... .......... .......... 59% 140M 15s 157950K .......... .......... .......... .......... .......... 59% 107M 15s 158000K .......... .......... .......... .......... .......... 59% 133M 15s 158050K .......... .......... .......... .......... .......... 59% 93.9M 15s 158100K .......... .......... .......... .......... .......... 59% 155M 15s 158150K .......... .......... .......... .......... .......... 59% 81.0M 15s 158200K .......... .......... .......... .......... .......... 60% 33.2M 15s 158250K .......... .......... .......... .......... .......... 60% 52.2M 15s 158300K .......... .......... .......... .......... .......... 60% 58.8M 15s 158350K .......... .......... .......... .......... .......... 60% 46.4M 15s 158400K .......... .......... .......... .......... .......... 60% 42.6M 15s 158450K .......... .......... .......... .......... .......... 60% 43.6M 15s 158500K .......... .......... .......... .......... .......... 60% 56.1M 15s 158550K .......... .......... .......... .......... .......... 60% 42.6M 15s 158600K .......... .......... .......... .......... .......... 60% 61.0M 15s 158650K .......... .......... .......... .......... .......... 60% 44.2M 15s 158700K .......... .......... .......... .......... .......... 60% 3.66M 15s 158750K .......... .......... .......... .......... .......... 60% 40.9M 15s 158800K .......... .......... .......... .......... .......... 60% 29.6M 15s 158850K .......... .......... .......... .......... .......... 60% 109M 15s 158900K .......... .......... .......... .......... .......... 60% 39.5M 15s 158950K .......... .......... .......... .......... .......... 60% 37.1M 15s 159000K .......... .......... .......... .......... .......... 60% 41.1M 15s 159050K .......... .......... .......... .......... .......... 60% 41.9M 15s 159100K .......... .......... .......... .......... .......... 60% 24.6M 15s 159150K .......... .......... .......... .......... .......... 60% 33.9M 15s 159200K .......... .......... .......... .......... .......... 60% 28.5M 15s 159250K .......... .......... .......... .......... .......... 60% 34.1M 15s 159300K .......... .......... .......... .......... .......... 60% 36.1M 15s 159350K .......... .......... .......... .......... .......... 60% 35.4M 15s 159400K .......... .......... .......... .......... .......... 60% 43.3M 15s 159450K .......... .......... .......... .......... .......... 60% 28.4M 15s 159500K .......... .......... .......... .......... .......... 60% 37.4M 15s 159550K .......... .......... .......... .......... .......... 60% 38.4M 15s 159600K .......... .......... .......... .......... .......... 60% 27.6M 15s 159650K .......... .......... .......... .......... .......... 60% 40.7M 15s 159700K .......... .......... .......... .......... .......... 60% 189K 15s 159750K .......... .......... .......... .......... .......... 60% 124M 15s 159800K .......... .......... .......... .......... .......... 60% 157M 15s 159850K .......... .......... .......... .......... .......... 60% 139M 15s 159900K .......... .......... .......... .......... .......... 60% 119M 15s 159950K .......... .......... .......... .......... .......... 60% 135M 15s 160000K .......... .......... .......... .......... .......... 60% 128M 15s 160050K .......... .......... .......... .......... .......... 60% 146M 15s 160100K .......... .......... .......... .......... .......... 60% 90.3M 15s 160150K .......... .......... .......... .......... .......... 60% 142M 15s 160200K .......... .......... .......... .......... .......... 60% 91.3M 15s 160250K .......... .......... .......... .......... .......... 60% 25.8M 15s 160300K .......... .......... .......... .......... .......... 60% 148M 15s 160350K .......... .......... .......... .......... .......... 60% 76.2M 15s 160400K .......... .......... .......... .......... .......... 60% 43.0M 15s 160450K .......... .......... .......... .......... .......... 60% 87.7M 15s 160500K .......... .......... .......... .......... .......... 60% 64.8M 15s 160550K .......... .......... .......... .......... .......... 60% 57.9M 15s 160600K .......... .......... .......... .......... .......... 60% 41.8M 15s 160650K .......... .......... .......... .......... .......... 60% 47.5M 15s 160700K .......... .......... .......... .......... .......... 60% 90.8M 15s 160750K .......... .......... .......... .......... .......... 60% 140K 15s 160800K .......... .......... .......... .......... .......... 60% 149M 15s 160850K .......... .......... .......... .......... .......... 61% 95.2M 15s 160900K .......... .......... .......... .......... .......... 61% 94.1M 15s 160950K .......... .......... .......... .......... .......... 61% 106M 15s 161000K .......... .......... .......... .......... .......... 61% 106M 15s 161050K .......... .......... .......... .......... .......... 61% 144M 15s 161100K .......... .......... .......... .......... .......... 61% 108M 15s 161150K .......... .......... .......... .......... .......... 61% 110M 15s 161200K .......... .......... .......... .......... .......... 61% 109M 15s 161250K .......... .......... .......... .......... .......... 61% 167M 15s 161300K .......... .......... .......... .......... .......... 61% 66.3M 15s 161350K .......... .......... .......... .......... .......... 61% 67.4M 15s 161400K .......... .......... .......... .......... .......... 61% 83.2M 15s 161450K .......... .......... .......... .......... .......... 61% 65.5M 15s 161500K .......... .......... .......... .......... .......... 61% 72.9M 15s 161550K .......... .......... .......... .......... .......... 61% 115M 15s 161600K .......... .......... .......... .......... .......... 61% 63.2M 15s 161650K .......... .......... .......... .......... .......... 61% 88.6M 15s 161700K .......... .......... .......... .......... .......... 61% 48.2M 15s 161750K .......... .......... .......... .......... .......... 61% 106M 15s 161800K .......... .......... .......... .......... .......... 61% 58.3M 15s 161850K .......... .......... .......... .......... .......... 61% 49.8M 15s 161900K .......... .......... .......... .......... .......... 61% 55.5M 15s 161950K .......... .......... .......... .......... .......... 61% 44.7M 15s 162000K .......... .......... .......... .......... .......... 61% 87.7M 15s 162050K .......... .......... .......... .......... .......... 61% 43.3M 15s 162100K .......... .......... .......... .......... .......... 61% 87.2M 15s 162150K .......... .......... .......... .......... .......... 61% 62.0M 15s 162200K .......... .......... .......... .......... .......... 61% 101M 15s 162250K .......... .......... .......... .......... .......... 61% 53.4M 15s 162300K .......... .......... .......... .......... .......... 61% 100M 15s 162350K .......... .......... .......... .......... .......... 61% 34.6M 15s 162400K .......... .......... .......... .......... .......... 61% 52.9M 15s 162450K .......... .......... .......... .......... .......... 61% 58.1M 15s 162500K .......... .......... .......... .......... .......... 61% 52.8M 15s 162550K .......... .......... .......... .......... .......... 61% 43.1M 15s 162600K .......... .......... .......... .......... .......... 61% 75.0M 15s 162650K .......... .......... .......... .......... .......... 61% 43.9M 15s 162700K .......... .......... .......... .......... .......... 61% 55.2M 15s 162750K .......... .......... .......... .......... .......... 61% 59.7M 15s 162800K .......... .......... .......... .......... .......... 61% 252K 15s 162850K .......... .......... .......... .......... .......... 61% 155M 15s 162900K .......... .......... .......... .......... .......... 61% 137M 15s 162950K .......... .......... .......... .......... .......... 61% 119M 15s 163000K .......... .......... .......... .......... .......... 61% 150M 15s 163050K .......... .......... .......... .......... .......... 61% 136M 15s 163100K .......... .......... .......... .......... .......... 61% 101M 15s 163150K .......... .......... .......... .......... .......... 61% 133M 15s 163200K .......... .......... .......... .......... .......... 61% 118M 15s 163250K .......... .......... .......... .......... .......... 61% 19.0M 15s 163300K .......... .......... .......... .......... .......... 61% 103M 15s 163350K .......... .......... .......... .......... .......... 61% 95.9M 15s 163400K .......... .......... .......... .......... .......... 61% 66.4M 15s 163450K .......... .......... .......... .......... .......... 61% 35.3M 15s 163500K .......... .......... .......... .......... .......... 62% 46.9M 15s 163550K .......... .......... .......... .......... .......... 62% 50.4M 15s 163600K .......... .......... .......... .......... .......... 62% 36.7M 15s 163650K .......... .......... .......... .......... .......... 62% 42.5M 14s 163700K .......... .......... .......... .......... .......... 62% 41.2M 14s 163750K .......... .......... .......... .......... .......... 62% 85.1M 14s 163800K .......... .......... .......... .......... .......... 62% 209K 15s 163850K .......... .......... .......... .......... .......... 62% 136M 15s 163900K .......... .......... .......... .......... .......... 62% 218M 15s 163950K .......... .......... .......... .......... .......... 62% 161M 15s 164000K .......... .......... .......... .......... .......... 62% 113M 15s 164050K .......... .......... .......... .......... .......... 62% 97.3M 15s 164100K .......... .......... .......... .......... .......... 62% 178M 15s 164150K .......... .......... .......... .......... .......... 62% 160M 15s 164200K .......... .......... .......... .......... .......... 62% 112M 15s 164250K .......... .......... .......... .......... .......... 62% 142M 15s 164300K .......... .......... .......... .......... .......... 62% 35.3M 14s 164350K .......... .......... .......... .......... .......... 62% 62.4M 14s 164400K .......... .......... .......... .......... .......... 62% 128M 14s 164450K .......... .......... .......... .......... .......... 62% 49.7M 14s 164500K .......... .......... .......... .......... .......... 62% 45.9M 14s 164550K .......... .......... .......... .......... .......... 62% 47.5M 14s 164600K .......... .......... .......... .......... .......... 62% 49.4M 14s 164650K .......... .......... .......... .......... .......... 62% 68.2M 14s 164700K .......... .......... .......... .......... .......... 62% 48.9M 14s 164750K .......... .......... .......... .......... .......... 62% 38.8M 14s 164800K .......... .......... .......... .......... .......... 62% 43.7M 14s 164850K .......... .......... .......... .......... .......... 62% 539K 14s 164900K .......... .......... .......... .......... .......... 62% 122M 14s 164950K .......... .......... .......... .......... .......... 62% 26.1M 14s 165000K .......... .......... .......... .......... .......... 62% 14.5M 14s 165050K .......... .......... .......... .......... .......... 62% 130M 14s 165100K .......... .......... .......... .......... .......... 62% 48.6M 14s 165150K .......... .......... .......... .......... .......... 62% 34.6M 14s 165200K .......... .......... .......... .......... .......... 62% 29.1M 14s 165250K .......... .......... .......... .......... .......... 62% 47.9M 14s 165300K .......... .......... .......... .......... .......... 62% 43.2M 14s 165350K .......... .......... .......... .......... .......... 62% 32.8M 14s 165400K .......... .......... .......... .......... .......... 62% 42.5M 14s 165450K .......... .......... .......... .......... .......... 62% 43.1M 14s 165500K .......... .......... .......... .......... .......... 62% 50.8M 14s 165550K .......... .......... .......... .......... .......... 62% 55.6M 14s 165600K .......... .......... .......... .......... .......... 62% 44.6M 14s 165650K .......... .......... .......... .......... .......... 62% 44.1M 14s 165700K .......... .......... .......... .......... .......... 62% 42.8M 14s 165750K .......... .......... .......... .......... .......... 62% 55.9M 14s 165800K .......... .......... .......... .......... .......... 62% 53.4M 14s 165850K .......... .......... .......... .......... .......... 62% 414K 14s 165900K .......... .......... .......... .......... .......... 62% 113M 14s 165950K .......... .......... .......... .......... .......... 62% 150M 14s 166000K .......... .......... .......... .......... .......... 62% 130M 14s 166050K .......... .......... .......... .......... .......... 62% 156M 14s 166100K .......... .......... .......... .......... .......... 63% 102M 14s 166150K .......... .......... .......... .......... .......... 63% 135M 14s 166200K .......... .......... .......... .......... .......... 63% 137M 14s 166250K .......... .......... .......... .......... .......... 63% 113M 14s 166300K .......... .......... .......... .......... .......... 63% 91.3M 14s 166350K .......... .......... .......... .......... .......... 63% 33.7M 14s 166400K .......... .......... .......... .......... .......... 63% 52.8M 14s 166450K .......... .......... .......... .......... .......... 63% 37.5M 14s 166500K .......... .......... .......... .......... .......... 63% 54.7M 14s 166550K .......... .......... .......... .......... .......... 63% 72.1M 14s 166600K .......... .......... .......... .......... .......... 63% 45.1M 14s 166650K .......... .......... .......... .......... .......... 63% 72.8M 14s 166700K .......... .......... .......... .......... .......... 63% 45.7M 14s 166750K .......... .......... .......... .......... .......... 63% 66.4M 14s 166800K .......... .......... .......... .......... .......... 63% 59.0M 14s 166850K .......... .......... .......... .......... .......... 63% 47.0M 14s 166900K .......... .......... .......... .......... .......... 63% 292K 14s 166950K .......... .......... .......... .......... .......... 63% 143M 14s 167000K .......... .......... .......... .......... .......... 63% 126M 14s 167050K .......... .......... .......... .......... .......... 63% 162M 14s 167100K .......... .......... .......... .......... .......... 63% 92.3M 14s 167150K .......... .......... .......... .......... .......... 63% 105M 14s 167200K .......... .......... .......... .......... .......... 63% 138M 14s 167250K .......... .......... .......... .......... .......... 63% 182M 14s 167300K .......... .......... .......... .......... .......... 63% 160M 14s 167350K .......... .......... .......... .......... .......... 63% 100M 14s 167400K .......... .......... .......... .......... .......... 63% 135M 14s 167450K .......... .......... .......... .......... .......... 63% 94.1M 14s 167500K .......... .......... .......... .......... .......... 63% 47.5M 14s 167550K .......... .......... .......... .......... .......... 63% 69.1M 14s 167600K .......... .......... .......... .......... .......... 63% 66.4M 14s 167650K .......... .......... .......... .......... .......... 63% 62.2M 14s 167700K .......... .......... .......... .......... .......... 63% 51.1M 14s 167750K .......... .......... .......... .......... .......... 63% 56.9M 14s 167800K .......... .......... .......... .......... .......... 63% 100M 14s 167850K .......... .......... .......... .......... .......... 63% 38.1M 14s 167900K .......... .......... .......... .......... .......... 63% 80.8M 14s 167950K .......... .......... .......... .......... .......... 63% 43.5M 14s 168000K .......... .......... .......... .......... .......... 63% 56.1M 14s 168050K .......... .......... .......... .......... .......... 63% 58.3M 14s 168100K .......... .......... .......... .......... .......... 63% 51.9M 14s 168150K .......... .......... .......... .......... .......... 63% 51.3M 14s 168200K .......... .......... .......... .......... .......... 63% 40.4M 14s 168250K .......... .......... .......... .......... .......... 63% 73.4M 14s 168300K .......... .......... .......... .......... .......... 63% 51.7M 14s 168350K .......... .......... .......... .......... .......... 63% 66.8M 14s 168400K .......... .......... .......... .......... .......... 63% 60.1M 14s 168450K .......... .......... .......... .......... .......... 63% 52.3M 14s 168500K .......... .......... .......... .......... .......... 63% 82.1M 14s 168550K .......... .......... .......... .......... .......... 63% 50.0M 14s 168600K .......... .......... .......... .......... .......... 63% 46.7M 14s 168650K .......... .......... .......... .......... .......... 63% 71.8M 14s 168700K .......... .......... .......... .......... .......... 63% 46.4M 14s 168750K .......... .......... .......... .......... .......... 64% 127M 14s 168800K .......... .......... .......... .......... .......... 64% 53.1M 14s 168850K .......... .......... .......... .......... .......... 64% 55.2M 14s 168900K .......... .......... .......... .......... .......... 64% 57.9M 14s 168950K .......... .......... .......... .......... .......... 64% 157K 14s 169000K .......... .......... .......... .......... .......... 64% 121M 14s 169050K .......... .......... .......... .......... .......... 64% 121M 14s 169100K .......... .......... .......... .......... .......... 64% 126M 14s 169150K .......... .......... .......... .......... .......... 64% 136M 14s 169200K .......... .......... .......... .......... .......... 64% 138M 14s 169250K .......... .......... .......... .......... .......... 64% 166M 14s 169300K .......... .......... .......... .......... .......... 64% 131M 14s 169350K .......... .......... .......... .......... .......... 64% 74.3M 14s 169400K .......... .......... .......... .......... .......... 64% 16.2M 14s 169450K .......... .......... .......... .......... .......... 64% 85.5M 14s 169500K .......... .......... .......... .......... .......... 64% 111M 14s 169550K .......... .......... .......... .......... .......... 64% 61.2M 14s 169600K .......... .......... .......... .......... .......... 64% 31.9M 14s 169650K .......... .......... .......... .......... .......... 64% 60.8M 14s 169700K .......... .......... .......... .......... .......... 64% 32.4M 14s 169750K .......... .......... .......... .......... .......... 64% 22.3M 14s 169800K .......... .......... .......... .......... .......... 64% 92.3M 14s 169850K .......... .......... .......... .......... .......... 64% 29.4M 14s 169900K .......... .......... .......... .......... .......... 64% 29.1M 14s 169950K .......... .......... .......... .......... .......... 64% 234K 14s 170000K .......... .......... .......... .......... .......... 64% 128M 14s 170050K .......... .......... .......... .......... .......... 64% 115M 14s 170100K .......... .......... .......... .......... .......... 64% 46.7M 14s 170150K .......... .......... .......... .......... .......... 64% 41.1M 14s 170200K .......... .......... .......... .......... .......... 64% 37.2M 14s 170250K .......... .......... .......... .......... .......... 64% 38.3M 14s 170300K .......... .......... .......... .......... .......... 64% 37.7M 14s 170350K .......... .......... .......... .......... .......... 64% 22.4M 14s 170400K .......... .......... .......... .......... .......... 64% 31.9M 14s 170450K .......... .......... .......... .......... .......... 64% 23.8M 14s 170500K .......... .......... .......... .......... .......... 64% 27.1M 14s 170550K .......... .......... .......... .......... .......... 64% 39.4M 14s 170600K .......... .......... .......... .......... .......... 64% 23.5M 14s 170650K .......... .......... .......... .......... .......... 64% 45.4M 14s 170700K .......... .......... .......... .......... .......... 64% 22.2M 14s 170750K .......... .......... .......... .......... .......... 64% 83.0M 14s 170800K .......... .......... .......... .......... .......... 64% 48.2M 14s 170850K .......... .......... .......... .......... .......... 64% 51.0M 14s 170900K .......... .......... .......... .......... .......... 64% 73.3M 14s 170950K .......... .......... .......... .......... .......... 64% 87.8M 14s 171000K .......... .......... .......... .......... .......... 64% 265K 14s 171050K .......... .......... .......... .......... .......... 64% 144M 14s 171100K .......... .......... .......... .......... .......... 64% 122M 14s 171150K .......... .......... .......... .......... .......... 64% 105M 14s 171200K .......... .......... .......... .......... .......... 64% 123M 14s 171250K .......... .......... .......... .......... .......... 64% 135M 14s 171300K .......... .......... .......... .......... .......... 64% 127M 14s 171350K .......... .......... .......... .......... .......... 64% 3.91M 14s 171400K .......... .......... .......... .......... .......... 65% 57.2M 14s 171450K .......... .......... .......... .......... .......... 65% 17.8M 14s 171500K .......... .......... .......... .......... .......... 65% 3.91M 14s 171550K .......... .......... .......... .......... .......... 65% 59.9M 14s 171600K .......... .......... .......... .......... .......... 65% 32.0M 14s 171650K .......... .......... .......... .......... .......... 65% 84.2M 14s 171700K .......... .......... .......... .......... .......... 65% 13.9M 14s 171750K .......... .......... .......... .......... .......... 65% 119M 14s 171800K .......... .......... .......... .......... .......... 65% 127M 13s 171850K .......... .......... .......... .......... .......... 65% 127M 13s 171900K .......... .......... .......... .......... .......... 65% 48.3M 13s 171950K .......... .......... .......... .......... .......... 65% 87.7M 13s 172000K .......... .......... .......... .......... .......... 65% 45.0M 13s 172050K .......... .......... .......... .......... .......... 65% 113M 13s 172100K .......... .......... .......... .......... .......... 65% 35.1M 13s 172150K .......... .......... .......... .......... .......... 65% 88.9M 13s 172200K .......... .......... .......... .......... .......... 65% 38.4M 13s 172250K .......... .......... .......... .......... .......... 65% 38.4M 13s 172300K .......... .......... .......... .......... .......... 65% 91.4M 13s 172350K .......... .......... .......... .......... .......... 65% 27.7M 13s 172400K .......... .......... .......... .......... .......... 65% 49.6M 13s 172450K .......... .......... .......... .......... .......... 65% 27.6M 13s 172500K .......... .......... .......... .......... .......... 65% 49.5M 13s 172550K .......... .......... .......... .......... .......... 65% 38.9M 13s 172600K .......... .......... .......... .......... .......... 65% 41.6M 13s 172650K .......... .......... .......... .......... .......... 65% 52.5M 13s 172700K .......... .......... .......... .......... .......... 65% 35.7M 13s 172750K .......... .......... .......... .......... .......... 65% 98.8M 13s 172800K .......... .......... .......... .......... .......... 65% 36.8M 13s 172850K .......... .......... .......... .......... .......... 65% 40.8M 13s 172900K .......... .......... .......... .......... .......... 65% 105M 13s 172950K .......... .......... .......... .......... .......... 65% 40.6M 13s 173000K .......... .......... .......... .......... .......... 65% 78.8M 13s 173050K .......... .......... .......... .......... .......... 65% 194K 13s 173100K .......... .......... .......... .......... .......... 65% 105M 13s 173150K .......... .......... .......... .......... .......... 65% 156M 13s 173200K .......... .......... .......... .......... .......... 65% 152M 13s 173250K .......... .......... .......... .......... .......... 65% 143M 13s 173300K .......... .......... .......... .......... .......... 65% 196M 13s 173350K .......... .......... .......... .......... .......... 65% 102M 13s 173400K .......... .......... .......... .......... .......... 65% 211M 13s 173450K .......... .......... .......... .......... .......... 65% 114M 13s 173500K .......... .......... .......... .......... .......... 65% 45.6M 13s 173550K .......... .......... .......... .......... .......... 65% 54.1M 13s 173600K .......... .......... .......... .......... .......... 65% 109M 13s 173650K .......... .......... .......... .......... .......... 65% 76.7M 13s 173700K .......... .......... .......... .......... .......... 65% 71.7M 13s 173750K .......... .......... .......... .......... .......... 65% 77.5M 13s 173800K .......... .......... .......... .......... .......... 65% 107M 13s 173850K .......... .......... .......... .......... .......... 65% 88.0M 13s 173900K .......... .......... .......... .......... .......... 65% 82.9M 13s 173950K .......... .......... .......... .......... .......... 65% 90.9M 13s 174000K .......... .......... .......... .......... .......... 65% 105M 13s 174050K .......... .......... .......... .......... .......... 66% 169K 13s 174100K .......... .......... .......... .......... .......... 66% 209M 13s 174150K .......... .......... .......... .......... .......... 66% 151M 13s 174200K .......... .......... .......... .......... .......... 66% 140M 13s 174250K .......... .......... .......... .......... .......... 66% 234M 13s 174300K .......... .......... .......... .......... .......... 66% 220M 13s 174350K .......... .......... .......... .......... .......... 66% 160M 13s 174400K .......... .......... .......... .......... .......... 66% 217M 13s 174450K .......... .......... .......... .......... .......... 66% 210M 13s 174500K .......... .......... .......... .......... .......... 66% 16.3M 13s 174550K .......... .......... .......... .......... .......... 66% 157M 13s 174600K .......... .......... .......... .......... .......... 66% 191M 13s 174650K .......... .......... .......... .......... .......... 66% 74.4M 13s 174700K .......... .......... .......... .......... .......... 66% 106M 13s 174750K .......... .......... .......... .......... .......... 66% 55.3M 13s 174800K .......... .......... .......... .......... .......... 66% 156M 13s 174850K .......... .......... .......... .......... .......... 66% 61.3M 13s 174900K .......... .......... .......... .......... .......... 66% 89.9M 13s 174950K .......... .......... .......... .......... .......... 66% 52.1M 13s 175000K .......... .......... .......... .......... .......... 66% 52.1M 13s 175050K .......... .......... .......... .......... .......... 66% 50.0M 13s 175100K .......... .......... .......... .......... .......... 66% 50.8M 13s 175150K .......... .......... .......... .......... .......... 66% 46.6M 13s 175200K .......... .......... .......... .......... .......... 66% 44.1M 13s 175250K .......... .......... .......... .......... .......... 66% 49.8M 13s 175300K .......... .......... .......... .......... .......... 66% 60.8M 13s 175350K .......... .......... .......... .......... .......... 66% 51.3M 13s 175400K .......... .......... .......... .......... .......... 66% 116M 13s 175450K .......... .......... .......... .......... .......... 66% 54.7M 13s 175500K .......... .......... .......... .......... .......... 66% 55.5M 13s 175550K .......... .......... .......... .......... .......... 66% 65.4M 13s 175600K .......... .......... .......... .......... .......... 66% 48.3M 13s 175650K .......... .......... .......... .......... .......... 66% 74.1M 13s 175700K .......... .......... .......... .......... .......... 66% 48.0M 13s 175750K .......... .......... .......... .......... .......... 66% 61.4M 13s 175800K .......... .......... .......... .......... .......... 66% 54.5M 13s 175850K .......... .......... .......... .......... .......... 66% 57.6M 13s 175900K .......... .......... .......... .......... .......... 66% 62.4M 13s 175950K .......... .......... .......... .......... .......... 66% 51.7M 13s 176000K .......... .......... .......... .......... .......... 66% 57.1M 13s 176050K .......... .......... .......... .......... .......... 66% 73.0M 13s 176100K .......... .......... .......... .......... .......... 66% 327K 13s 176150K .......... .......... .......... .......... .......... 66% 137M 13s 176200K .......... .......... .......... .......... .......... 66% 134M 13s 176250K .......... .......... .......... .......... .......... 66% 121M 13s 176300K .......... .......... .......... .......... .......... 66% 114M 13s 176350K .......... .......... .......... .......... .......... 66% 170M 13s 176400K .......... .......... .......... .......... .......... 66% 109M 13s 176450K .......... .......... .......... .......... .......... 66% 108M 13s 176500K .......... .......... .......... .......... .......... 66% 111M 13s 176550K .......... .......... .......... .......... .......... 66% 120M 13s 176600K .......... .......... .......... .......... .......... 66% 31.9M 13s 176650K .......... .......... .......... .......... .......... 67% 86.4M 13s 176700K .......... .......... .......... .......... .......... 67% 51.6M 13s 176750K .......... .......... .......... .......... .......... 67% 49.5M 13s 176800K .......... .......... .......... .......... .......... 67% 93.0M 13s 176850K .......... .......... .......... .......... .......... 67% 58.9M 13s 176900K .......... .......... .......... .......... .......... 67% 32.4M 13s 176950K .......... .......... .......... .......... .......... 67% 31.9M 13s 177000K .......... .......... .......... .......... .......... 67% 118M 13s 177050K .......... .......... .......... .......... .......... 67% 53.5M 13s 177100K .......... .......... .......... .......... .......... 67% 64.0M 13s 177150K .......... .......... .......... .......... .......... 67% 192K 13s 177200K .......... .......... .......... .......... .......... 67% 100M 13s 177250K .......... .......... .......... .......... .......... 67% 102M 13s 177300K .......... .......... .......... .......... .......... 67% 126M 13s 177350K .......... .......... .......... .......... .......... 67% 107M 13s 177400K .......... .......... .......... .......... .......... 67% 172M 13s 177450K .......... .......... .......... .......... .......... 67% 127M 13s 177500K .......... .......... .......... .......... .......... 67% 113M 13s 177550K .......... .......... .......... .......... .......... 67% 178M 13s 177600K .......... .......... .......... .......... .......... 67% 107M 13s 177650K .......... .......... .......... .......... .......... 67% 165M 13s 177700K .......... .......... .......... .......... .......... 67% 96.1M 13s 177750K .......... .......... .......... .......... .......... 67% 84.5M 13s 177800K .......... .......... .......... .......... .......... 67% 68.2M 13s 177850K .......... .......... .......... .......... .......... 67% 97.5M 13s 177900K .......... .......... .......... .......... .......... 67% 69.6M 13s 177950K .......... .......... .......... .......... .......... 67% 122M 13s 178000K .......... .......... .......... .......... .......... 67% 55.7M 13s 178050K .......... .......... .......... .......... .......... 67% 101M 13s 178100K .......... .......... .......... .......... .......... 67% 79.4M 13s 178150K .......... .......... .......... .......... .......... 67% 4.34M 13s 178200K .......... .......... .......... .......... .......... 67% 157M 13s 178250K .......... .......... .......... .......... .......... 67% 175M 13s 178300K .......... .......... .......... .......... .......... 67% 101M 13s 178350K .......... .......... .......... .......... .......... 67% 115M 13s 178400K .......... .......... .......... .......... .......... 67% 166M 13s 178450K .......... .......... .......... .......... .......... 67% 145M 13s 178500K .......... .......... .......... .......... .......... 67% 125M 13s 178550K .......... .......... .......... .......... .......... 67% 125M 13s 178600K .......... .......... .......... .......... .......... 67% 101M 13s 178650K .......... .......... .......... .......... .......... 67% 157M 13s 178700K .......... .......... .......... .......... .......... 67% 131M 13s 178750K .......... .......... .......... .......... .......... 67% 120M 12s 178800K .......... .......... .......... .......... .......... 67% 114M 12s 178850K .......... .......... .......... .......... .......... 67% 61.7M 12s 178900K .......... .......... .......... .......... .......... 67% 111M 12s 178950K .......... .......... .......... .......... .......... 67% 57.0M 12s 179000K .......... .......... .......... .......... .......... 67% 104M 12s 179050K .......... .......... .......... .......... .......... 67% 46.7M 12s 179100K .......... .......... .......... .......... .......... 67% 65.8M 12s 179150K .......... .......... .......... .......... .......... 67% 92.1M 12s 179200K .......... .......... .......... .......... .......... 67% 276K 12s 179250K .......... .......... .......... .......... .......... 67% 147M 12s 179300K .......... .......... .......... .......... .......... 68% 144M 12s 179350K .......... .......... .......... .......... .......... 68% 114M 12s 179400K .......... .......... .......... .......... .......... 68% 117M 12s 179450K .......... .......... .......... .......... .......... 68% 108M 12s 179500K .......... .......... .......... .......... .......... 68% 119M 12s 179550K .......... .......... .......... .......... .......... 68% 56.1M 12s 179600K .......... .......... .......... .......... .......... 68% 62.1M 12s 179650K .......... .......... .......... .......... .......... 68% 33.7M 12s 179700K .......... .......... .......... .......... .......... 68% 57.0M 12s 179750K .......... .......... .......... .......... .......... 68% 48.7M 12s 179800K .......... .......... .......... .......... .......... 68% 57.6M 12s 179850K .......... .......... .......... .......... .......... 68% 48.4M 12s 179900K .......... .......... .......... .......... .......... 68% 77.6M 12s 179950K .......... .......... .......... .......... .......... 68% 39.5M 12s 180000K .......... .......... .......... .......... .......... 68% 40.5M 12s 180050K .......... .......... .......... .......... .......... 68% 86.6M 12s 180100K .......... .......... .......... .......... .......... 68% 36.0M 12s 180150K .......... .......... .......... .......... .......... 68% 96.1M 12s 180200K .......... .......... .......... .......... .......... 68% 170K 12s 180250K .......... .......... .......... .......... .......... 68% 140M 12s 180300K .......... .......... .......... .......... .......... 68% 133M 12s 180350K .......... .......... .......... .......... .......... 68% 94.4M 12s 180400K .......... .......... .......... .......... .......... 68% 144M 12s 180450K .......... .......... .......... .......... .......... 68% 104M 12s 180500K .......... .......... .......... .......... .......... 68% 103M 12s 180550K .......... .......... .......... .......... .......... 68% 155M 12s 180600K .......... .......... .......... .......... .......... 68% 136M 12s 180650K .......... .......... .......... .......... .......... 68% 181M 12s 180700K .......... .......... .......... .......... .......... 68% 16.0M 12s 180750K .......... .......... .......... .......... .......... 68% 138M 12s 180800K .......... .......... .......... .......... .......... 68% 110M 12s 180850K .......... .......... .......... .......... .......... 68% 105M 12s 180900K .......... .......... .......... .......... .......... 68% 41.4M 12s 180950K .......... .......... .......... .......... .......... 68% 101M 12s 181000K .......... .......... .......... .......... .......... 68% 150M 12s 181050K .......... .......... .......... .......... .......... 68% 46.0M 12s 181100K .......... .......... .......... .......... .......... 68% 33.1M 12s 181150K .......... .......... .......... .......... .......... 68% 76.7M 12s 181200K .......... .......... .......... .......... .......... 68% 22.4M 12s 181250K .......... .......... .......... .......... .......... 68% 45.8M 12s 181300K .......... .......... .......... .......... .......... 68% 99.6M 12s 181350K .......... .......... .......... .......... .......... 68% 49.4M 12s 181400K .......... .......... .......... .......... .......... 68% 43.5M 12s 181450K .......... .......... .......... .......... .......... 68% 44.7M 12s 181500K .......... .......... .......... .......... .......... 68% 80.3M 12s 181550K .......... .......... .......... .......... .......... 68% 55.0M 12s 181600K .......... .......... .......... .......... .......... 68% 50.2M 12s 181650K .......... .......... .......... .......... .......... 68% 42.3M 12s 181700K .......... .......... .......... .......... .......... 68% 101M 12s 181750K .......... .......... .......... .......... .......... 68% 51.1M 12s 181800K .......... .......... .......... .......... .......... 68% 73.3M 12s 181850K .......... .......... .......... .......... .......... 68% 50.2M 12s 181900K .......... .......... .......... .......... .......... 68% 60.1M 12s 181950K .......... .......... .......... .......... .......... 69% 49.5M 12s 182000K .......... .......... .......... .......... .......... 69% 59.2M 12s 182050K .......... .......... .......... .......... .......... 69% 64.3M 12s 182100K .......... .......... .......... .......... .......... 69% 49.8M 12s 182150K .......... .......... .......... .......... .......... 69% 51.2M 12s 182200K .......... .......... .......... .......... .......... 69% 57.1M 12s 182250K .......... .......... .......... .......... .......... 69% 467K 12s 182300K .......... .......... .......... .......... .......... 69% 129M 12s 182350K .......... .......... .......... .......... .......... 69% 99.6M 12s 182400K .......... .......... .......... .......... .......... 69% 142M 12s 182450K .......... .......... .......... .......... .......... 69% 124M 12s 182500K .......... .......... .......... .......... .......... 69% 135M 12s 182550K .......... .......... .......... .......... .......... 69% 120M 12s 182600K .......... .......... .......... .......... .......... 69% 123M 12s 182650K .......... .......... .......... .......... .......... 69% 137M 12s 182700K .......... .......... .......... .......... .......... 69% 112M 12s 182750K .......... .......... .......... .......... .......... 69% 31.7M 12s 182800K .......... .......... .......... .......... .......... 69% 114M 12s 182850K .......... .......... .......... .......... .......... 69% 123M 12s 182900K .......... .......... .......... .......... .......... 69% 93.5M 12s 182950K .......... .......... .......... .......... .......... 69% 45.2M 12s 183000K .......... .......... .......... .......... .......... 69% 58.8M 12s 183050K .......... .......... .......... .......... .......... 69% 39.2M 12s 183100K .......... .......... .......... .......... .......... 69% 51.9M 12s 183150K .......... .......... .......... .......... .......... 69% 76.5M 12s 183200K .......... .......... .......... .......... .......... 69% 48.1M 12s 183250K .......... .......... .......... .......... .......... 69% 1.48M 12s 183300K .......... .......... .......... .......... .......... 69% 135M 12s 183350K .......... .......... .......... .......... .......... 69% 112M 12s 183400K .......... .......... .......... .......... .......... 69% 125M 12s 183450K .......... .......... .......... .......... .......... 69% 116M 12s 183500K .......... .......... .......... .......... .......... 69% 161M 12s 183550K .......... .......... .......... .......... .......... 69% 167M 12s 183600K .......... .......... .......... .......... .......... 69% 111M 12s 183650K .......... .......... .......... .......... .......... 69% 126M 12s 183700K .......... .......... .......... .......... .......... 69% 63.4M 12s 183750K .......... .......... .......... .......... .......... 69% 15.9M 12s 183800K .......... .......... .......... .......... .......... 69% 130M 12s 183850K .......... .......... .......... .......... .......... 69% 39.6M 12s 183900K .......... .......... .......... .......... .......... 69% 36.0M 12s 183950K .......... .......... .......... .......... .......... 69% 25.7M 12s 184000K .......... .......... .......... .......... .......... 69% 35.2M 12s 184050K .......... .......... .......... .......... .......... 69% 33.0M 12s 184100K .......... .......... .......... .......... .......... 69% 29.0M 12s 184150K .......... .......... .......... .......... .......... 69% 31.2M 12s 184200K .......... .......... .......... .......... .......... 69% 26.8M 12s 184250K .......... .......... .......... .......... .......... 69% 25.3M 12s 184300K .......... .......... .......... .......... .......... 69% 129K 12s 184350K .......... .......... .......... .......... .......... 69% 123M 12s 184400K .......... .......... .......... .......... .......... 69% 138M 12s 184450K .......... .......... .......... .......... .......... 69% 96.1M 12s 184500K .......... .......... .......... .......... .......... 69% 140M 12s 184550K .......... .......... .......... .......... .......... 69% 113M 12s 184600K .......... .......... .......... .......... .......... 70% 138M 12s 184650K .......... .......... .......... .......... .......... 70% 96.7M 12s 184700K .......... .......... .......... .......... .......... 70% 84.8M 12s 184750K .......... .......... .......... .......... .......... 70% 117M 12s 184800K .......... .......... .......... .......... .......... 70% 52.4M 12s 184850K .......... .......... .......... .......... .......... 70% 27.3M 12s 184900K .......... .......... .......... .......... .......... 70% 114M 12s 184950K .......... .......... .......... .......... .......... 70% 78.6M 12s 185000K .......... .......... .......... .......... .......... 70% 71.8M 12s 185050K .......... .......... .......... .......... .......... 70% 55.4M 12s 185100K .......... .......... .......... .......... .......... 70% 49.2M 12s 185150K .......... .......... .......... .......... .......... 70% 48.5M 12s 185200K .......... .......... .......... .......... .......... 70% 28.6M 12s 185250K .......... .......... .......... .......... .......... 70% 88.1M 12s 185300K .......... .......... .......... .......... .......... 70% 38.4M 12s 185350K .......... .......... .......... .......... .......... 70% 293K 12s 185400K .......... .......... .......... .......... .......... 70% 109M 12s 185450K .......... .......... .......... .......... .......... 70% 30.8M 12s 185500K .......... .......... .......... .......... .......... 70% 24.3M 12s 185550K .......... .......... .......... .......... .......... 70% 157M 12s 185600K .......... .......... .......... .......... .......... 70% 38.2M 12s 185650K .......... .......... .......... .......... .......... 70% 17.6M 12s 185700K .......... .......... .......... .......... .......... 70% 18.4M 12s 185750K .......... .......... .......... .......... .......... 70% 21.0M 12s 185800K .......... .......... .......... .......... .......... 70% 17.0M 12s 185850K .......... .......... .......... .......... .......... 70% 24.9M 12s 185900K .......... .......... .......... .......... .......... 70% 17.7M 12s 185950K .......... .......... .......... .......... .......... 70% 21.3M 12s 186000K .......... .......... .......... .......... .......... 70% 22.0M 12s 186050K .......... .......... .......... .......... .......... 70% 19.3M 12s 186100K .......... .......... .......... .......... .......... 70% 16.3M 12s 186150K .......... .......... .......... .......... .......... 70% 15.3M 11s 186200K .......... .......... .......... .......... .......... 70% 18.4M 11s 186250K .......... .......... .......... .......... .......... 70% 24.5M 11s 186300K .......... .......... .......... .......... .......... 70% 20.0M 11s 186350K .......... .......... .......... .......... .......... 70% 15.6M 11s 186400K .......... .......... .......... .......... .......... 70% 16.6M 11s 186450K .......... .......... .......... .......... .......... 70% 14.8M 11s 186500K .......... .......... .......... .......... .......... 70% 19.8M 11s 186550K .......... .......... .......... .......... .......... 70% 15.8M 11s 186600K .......... .......... .......... .......... .......... 70% 15.4M 11s 186650K .......... .......... .......... .......... .......... 70% 17.3M 11s 186700K .......... .......... .......... .......... .......... 70% 13.5M 11s 186750K .......... .......... .......... .......... .......... 70% 20.6M 11s 186800K .......... .......... .......... .......... .......... 70% 16.7M 11s 186850K .......... .......... .......... .......... .......... 70% 15.9M 11s 186900K .......... .......... .......... .......... .......... 70% 19.4M 11s 186950K .......... .......... .......... .......... .......... 70% 15.2M 11s 187000K .......... .......... .......... .......... .......... 70% 21.1M 11s 187050K .......... .......... .......... .......... .......... 70% 47.5M 11s 187100K .......... .......... .......... .......... .......... 70% 45.7M 11s 187150K .......... .......... .......... .......... .......... 70% 67.1M 11s 187200K .......... .......... .......... .......... .......... 71% 29.9M 11s 187250K .......... .......... .......... .......... .......... 71% 146M 11s 187300K .......... .......... .......... .......... .......... 71% 210M 11s 187350K .......... .......... .......... .......... .......... 71% 167K 11s 187400K .......... .......... .......... .......... .......... 71% 199M 11s 187450K .......... .......... .......... .......... .......... 71% 95.7M 11s 187500K .......... .......... .......... .......... .......... 71% 156M 11s 187550K .......... .......... .......... .......... .......... 71% 197M 11s 187600K .......... .......... .......... .......... .......... 71% 140M 11s 187650K .......... .......... .......... .......... .......... 71% 109M 11s 187700K .......... .......... .......... .......... .......... 71% 131M 11s 187750K .......... .......... .......... .......... .......... 71% 142M 11s 187800K .......... .......... .......... .......... .......... 71% 94.4M 11s 187850K .......... .......... .......... .......... .......... 71% 56.8M 11s 187900K .......... .......... .......... .......... .......... 71% 64.0M 11s 187950K .......... .......... .......... .......... .......... 71% 32.6M 11s 188000K .......... .......... .......... .......... .......... 71% 77.6M 11s 188050K .......... .......... .......... .......... .......... 71% 38.6M 11s 188100K .......... .......... .......... .......... .......... 71% 24.3M 11s 188150K .......... .......... .......... .......... .......... 71% 123M 11s 188200K .......... .......... .......... .......... .......... 71% 55.6M 11s 188250K .......... .......... .......... .......... .......... 71% 44.3M 11s 188300K .......... .......... .......... .......... .......... 71% 46.5M 11s 188350K .......... .......... .......... .......... .......... 71% 42.5M 11s 188400K .......... .......... .......... .......... .......... 71% 50.1M 11s 188450K .......... .......... .......... .......... .......... 71% 37.9M 11s 188500K .......... .......... .......... .......... .......... 71% 40.9M 11s 188550K .......... .......... .......... .......... .......... 71% 51.8M 11s 188600K .......... .......... .......... .......... .......... 71% 47.0M 11s 188650K .......... .......... .......... .......... .......... 71% 53.5M 11s 188700K .......... .......... .......... .......... .......... 71% 22.1M 11s 188750K .......... .......... .......... .......... .......... 71% 107M 11s 188800K .......... .......... .......... .......... .......... 71% 74.8M 11s 188850K .......... .......... .......... .......... .......... 71% 39.5M 11s 188900K .......... .......... .......... .......... .......... 71% 39.3M 11s 188950K .......... .......... .......... .......... .......... 71% 23.4M 11s 189000K .......... .......... .......... .......... .......... 71% 41.7M 11s 189050K .......... .......... .......... .......... .......... 71% 44.1M 11s 189100K .......... .......... .......... .......... .......... 71% 39.7M 11s 189150K .......... .......... .......... .......... .......... 71% 43.2M 11s 189200K .......... .......... .......... .......... .......... 71% 42.9M 11s 189250K .......... .......... .......... .......... .......... 71% 28.5M 11s 189300K .......... .......... .......... .......... .......... 71% 46.1M 11s 189350K .......... .......... .......... .......... .......... 71% 45.6M 11s 189400K .......... .......... .......... .......... .......... 71% 361K 11s 189450K .......... .......... .......... .......... .......... 71% 125M 11s 189500K .......... .......... .......... .......... .......... 71% 171M 11s 189550K .......... .......... .......... .......... .......... 71% 153M 11s 189600K .......... .......... .......... .......... .......... 71% 184M 11s 189650K .......... .......... .......... .......... .......... 71% 142M 11s 189700K .......... .......... .......... .......... .......... 71% 111M 11s 189750K .......... .......... .......... .......... .......... 71% 117M 11s 189800K .......... .......... .......... .......... .......... 71% 125M 11s 189850K .......... .......... .......... .......... .......... 72% 59.8M 11s 189900K .......... .......... .......... .......... .......... 72% 121M 11s 189950K .......... .......... .......... .......... .......... 72% 48.0M 11s 190000K .......... .......... .......... .......... .......... 72% 46.3M 11s 190050K .......... .......... .......... .......... .......... 72% 44.5M 11s 190100K .......... .......... .......... .......... .......... 72% 38.9M 11s 190150K .......... .......... .......... .......... .......... 72% 55.5M 11s 190200K .......... .......... .......... .......... .......... 72% 38.8M 11s 190250K .......... .......... .......... .......... .......... 72% 52.9M 11s 190300K .......... .......... .......... .......... .......... 72% 43.9M 11s 190350K .......... .......... .......... .......... .......... 72% 45.5M 11s 190400K .......... .......... .......... .......... .......... 72% 40.4M 11s 190450K .......... .......... .......... .......... .......... 72% 365K 11s 190500K .......... .......... .......... .......... .......... 72% 160M 11s 190550K .......... .......... .......... .......... .......... 72% 39.6M 11s 190600K .......... .......... .......... .......... .......... 72% 36.7M 11s 190650K .......... .......... .......... .......... .......... 72% 71.0M 11s 190700K .......... .......... .......... .......... .......... 72% 56.5M 11s 190750K .......... .......... .......... .......... .......... 72% 49.9M 11s 190800K .......... .......... .......... .......... .......... 72% 57.3M 11s 190850K .......... .......... .......... .......... .......... 72% 41.4M 11s 190900K .......... .......... .......... .......... .......... 72% 54.1M 11s 190950K .......... .......... .......... .......... .......... 72% 48.8M 11s 191000K .......... .......... .......... .......... .......... 72% 57.6M 11s 191050K .......... .......... .......... .......... .......... 72% 52.9M 11s 191100K .......... .......... .......... .......... .......... 72% 44.5M 11s 191150K .......... .......... .......... .......... .......... 72% 62.2M 11s 191200K .......... .......... .......... .......... .......... 72% 49.5M 11s 191250K .......... .......... .......... .......... .......... 72% 40.1M 11s 191300K .......... .......... .......... .......... .......... 72% 96.7M 11s 191350K .......... .......... .......... .......... .......... 72% 42.2M 11s 191400K .......... .......... .......... .......... .......... 72% 35.2M 11s 191450K .......... .......... .......... .......... .......... 72% 246K 11s 191500K .......... .......... .......... .......... .......... 72% 144M 11s 191550K .......... .......... .......... .......... .......... 72% 95.9M 11s 191600K .......... .......... .......... .......... .......... 72% 109M 11s 191650K .......... .......... .......... .......... .......... 72% 132M 11s 191700K .......... .......... .......... .......... .......... 72% 58.4M 11s 191750K .......... .......... .......... .......... .......... 72% 16.9M 11s 191800K .......... .......... .......... .......... .......... 72% 136M 11s 191850K .......... .......... .......... .......... .......... 72% 96.7M 11s 191900K .......... .......... .......... .......... .......... 72% 29.4M 11s 191950K .......... .......... .......... .......... .......... 72% 31.9M 11s 192000K .......... .......... .......... .......... .......... 72% 27.7M 11s 192050K .......... .......... .......... .......... .......... 72% 37.9M 11s 192100K .......... .......... .......... .......... .......... 72% 27.7M 11s 192150K .......... .......... .......... .......... .......... 72% 17.8M 11s 192200K .......... .......... .......... .......... .......... 72% 52.3M 11s 192250K .......... .......... .......... .......... .......... 72% 28.4M 11s 192300K .......... .......... .......... .......... .......... 72% 26.0M 11s 192350K .......... .......... .......... .......... .......... 72% 27.2M 11s 192400K .......... .......... .......... .......... .......... 72% 28.3M 11s 192450K .......... .......... .......... .......... .......... 72% 25.1M 11s 192500K .......... .......... .......... .......... .......... 73% 2.72M 11s 192550K .......... .......... .......... .......... .......... 73% 39.1M 11s 192600K .......... .......... .......... .......... .......... 73% 17.5M 11s 192650K .......... .......... .......... .......... .......... 73% 42.2M 11s 192700K .......... .......... .......... .......... .......... 73% 25.9M 11s 192750K .......... .......... .......... .......... .......... 73% 39.5M 11s 192800K .......... .......... .......... .......... .......... 73% 23.6M 11s 192850K .......... .......... .......... .......... .......... 73% 99.6M 10s 192900K .......... .......... .......... .......... .......... 73% 127M 10s 192950K .......... .......... .......... .......... .......... 73% 47.0M 10s 193000K .......... .......... .......... .......... .......... 73% 55.2M 10s 193050K .......... .......... .......... .......... .......... 73% 80.7M 10s 193100K .......... .......... .......... .......... .......... 73% 24.5M 10s 193150K .......... .......... .......... .......... .......... 73% 125M 10s 193200K .......... .......... .......... .......... .......... 73% 60.4M 10s 193250K .......... .......... .......... .......... .......... 73% 34.9M 10s 193300K .......... .......... .......... .......... .......... 73% 24.5M 10s 193350K .......... .......... .......... .......... .......... 73% 98.4M 10s 193400K .......... .......... .......... .......... .......... 73% 49.6M 10s 193450K .......... .......... .......... .......... .......... 73% 26.6M 10s 193500K .......... .......... .......... .......... .......... 73% 163K 10s 193550K .......... .......... .......... .......... .......... 73% 181M 10s 193600K .......... .......... .......... .......... .......... 73% 132M 10s 193650K .......... .......... .......... .......... .......... 73% 117M 10s 193700K .......... .......... .......... .......... .......... 73% 144M 10s 193750K .......... .......... .......... .......... .......... 73% 158M 10s 193800K .......... .......... .......... .......... .......... 73% 87.5M 10s 193850K .......... .......... .......... .......... .......... 73% 132M 10s 193900K .......... .......... .......... .......... .......... 73% 127M 10s 193950K .......... .......... .......... .......... .......... 73% 89.6M 10s 194000K .......... .......... .......... .......... .......... 73% 51.6M 10s 194050K .......... .......... .......... .......... .......... 73% 50.7M 10s 194100K .......... .......... .......... .......... .......... 73% 42.1M 10s 194150K .......... .......... .......... .......... .......... 73% 46.3M 10s 194200K .......... .......... .......... .......... .......... 73% 48.9M 10s 194250K .......... .......... .......... .......... .......... 73% 54.3M 10s 194300K .......... .......... .......... .......... .......... 73% 50.8M 10s 194350K .......... .......... .......... .......... .......... 73% 48.8M 10s 194400K .......... .......... .......... .......... .......... 73% 40.9M 10s 194450K .......... .......... .......... .......... .......... 73% 26.2M 10s 194500K .......... .......... .......... .......... .......... 73% 73.5M 10s 194550K .......... .......... .......... .......... .......... 73% 365K 10s 194600K .......... .......... .......... .......... .......... 73% 36.7M 10s 194650K .......... .......... .......... .......... .......... 73% 38.8M 10s 194700K .......... .......... .......... .......... .......... 73% 30.1M 10s 194750K .......... .......... .......... .......... .......... 73% 47.4M 10s 194800K .......... .......... .......... .......... .......... 73% 32.7M 10s 194850K .......... .......... .......... .......... .......... 73% 21.2M 10s 194900K .......... .......... .......... .......... .......... 73% 43.1M 10s 194950K .......... .......... .......... .......... .......... 73% 19.9M 10s 195000K .......... .......... .......... .......... .......... 73% 22.6M 10s 195050K .......... .......... .......... .......... .......... 73% 41.4M 10s 195100K .......... .......... .......... .......... .......... 73% 22.8M 10s 195150K .......... .......... .......... .......... .......... 74% 22.9M 10s 195200K .......... .......... .......... .......... .......... 74% 27.4M 10s 195250K .......... .......... .......... .......... .......... 74% 38.7M 10s 195300K .......... .......... .......... .......... .......... 74% 23.4M 10s 195350K .......... .......... .......... .......... .......... 74% 22.9M 10s 195400K .......... .......... .......... .......... .......... 74% 38.9M 10s 195450K .......... .......... .......... .......... .......... 74% 24.7M 10s 195500K .......... .......... .......... .......... .......... 74% 25.7M 10s 195550K .......... .......... .......... .......... .......... 74% 40.0M 10s 195600K .......... .......... .......... .......... .......... 74% 15.8M 10s 195650K .......... .......... .......... .......... .......... 74% 28.6M 10s 195700K .......... .......... .......... .......... .......... 74% 27.0M 10s 195750K .......... .......... .......... .......... .......... 74% 17.2M 10s 195800K .......... .......... .......... .......... .......... 74% 34.3M 10s 195850K .......... .......... .......... .......... .......... 74% 15.9M 10s 195900K .......... .......... .......... .......... .......... 74% 22.4M 10s 195950K .......... .......... .......... .......... .......... 74% 14.6M 10s 196000K .......... .......... .......... .......... .......... 74% 18.5M 10s 196050K .......... .......... .......... .......... .......... 74% 16.9M 10s 196100K .......... .......... .......... .......... .......... 74% 19.9M 10s 196150K .......... .......... .......... .......... .......... 74% 24.5M 10s 196200K .......... .......... .......... .......... .......... 74% 21.0M 10s 196250K .......... .......... .......... .......... .......... 74% 22.0M 10s 196300K .......... .......... .......... .......... .......... 74% 30.1M 10s 196350K .......... .......... .......... .......... .......... 74% 21.1M 10s 196400K .......... .......... .......... .......... .......... 74% 23.1M 10s 196450K .......... .......... .......... .......... .......... 74% 22.7M 10s 196500K .......... .......... .......... .......... .......... 74% 22.4M 10s 196550K .......... .......... .......... .......... .......... 74% 24.4M 10s 196600K .......... .......... .......... .......... .......... 74% 5.65M 10s 196650K .......... .......... .......... .......... .......... 74% 17.2M 10s 196700K .......... .......... .......... .......... .......... 74% 20.4M 10s 196750K .......... .......... .......... .......... .......... 74% 101M 10s 196800K .......... .......... .......... .......... .......... 74% 31.5M 10s 196850K .......... .......... .......... .......... .......... 74% 36.3M 10s 196900K .......... .......... .......... .......... .......... 74% 34.8M 10s 196950K .......... .......... .......... .......... .......... 74% 30.9M 10s 197000K .......... .......... .......... .......... .......... 74% 41.2M 10s 197050K .......... .......... .......... .......... .......... 74% 31.2M 10s 197100K .......... .......... .......... .......... .......... 74% 42.0M 10s 197150K .......... .......... .......... .......... .......... 74% 36.2M 10s 197200K .......... .......... .......... .......... .......... 74% 33.1M 10s 197250K .......... .......... .......... .......... .......... 74% 38.0M 10s 197300K .......... .......... .......... .......... .......... 74% 39.8M 10s 197350K .......... .......... .......... .......... .......... 74% 20.6M 10s 197400K .......... .......... .......... .......... .......... 74% 59.1M 10s 197450K .......... .......... .......... .......... .......... 74% 27.3M 10s 197500K .......... .......... .......... .......... .......... 74% 31.0M 10s 197550K .......... .......... .......... .......... .......... 74% 34.2M 10s 197600K .......... .......... .......... .......... .......... 74% 180K 10s 197650K .......... .......... .......... .......... .......... 74% 189M 10s 197700K .......... .......... .......... .......... .......... 74% 182M 10s 197750K .......... .......... .......... .......... .......... 75% 29.9M 10s 197800K .......... .......... .......... .......... .......... 75% 36.9M 10s 197850K .......... .......... .......... .......... .......... 75% 37.5M 10s 197900K .......... .......... .......... .......... .......... 75% 39.3M 10s 197950K .......... .......... .......... .......... .......... 75% 35.4M 10s 198000K .......... .......... .......... .......... .......... 75% 38.4M 10s 198050K .......... .......... .......... .......... .......... 75% 38.2M 10s 198100K .......... .......... .......... .......... .......... 75% 35.4M 10s 198150K .......... .......... .......... .......... .......... 75% 38.5M 10s 198200K .......... .......... .......... .......... .......... 75% 28.4M 10s 198250K .......... .......... .......... .......... .......... 75% 33.9M 10s 198300K .......... .......... .......... .......... .......... 75% 49.9M 10s 198350K .......... .......... .......... .......... .......... 75% 30.9M 10s 198400K .......... .......... .......... .......... .......... 75% 21.4M 10s 198450K .......... .......... .......... .......... .......... 75% 29.5M 10s 198500K .......... .......... .......... .......... .......... 75% 40.6M 10s 198550K .......... .......... .......... .......... .......... 75% 25.3M 10s 198600K .......... .......... .......... .......... .......... 75% 15.3M 10s 198650K .......... .......... .......... .......... .......... 75% 28.1M 10s 198700K .......... .......... .......... .......... .......... 75% 19.4M 10s 198750K .......... .......... .......... .......... .......... 75% 26.7M 10s 198800K .......... .......... .......... .......... .......... 75% 37.8M 10s 198850K .......... .......... .......... .......... .......... 75% 21.0M 10s 198900K .......... .......... .......... .......... .......... 75% 32.6M 10s 198950K .......... .......... .......... .......... .......... 75% 24.7M 10s 199000K .......... .......... .......... .......... .......... 75% 28.4M 10s 199050K .......... .......... .......... .......... .......... 75% 36.3M 10s 199100K .......... .......... .......... .......... .......... 75% 23.4M 10s 199150K .......... .......... .......... .......... .......... 75% 31.5M 10s 199200K .......... .......... .......... .......... .......... 75% 30.4M 10s 199250K .......... .......... .......... .......... .......... 75% 29.5M 10s 199300K .......... .......... .......... .......... .......... 75% 31.0M 10s 199350K .......... .......... .......... .......... .......... 75% 29.9M 10s 199400K .......... .......... .......... .......... .......... 75% 34.2M 10s 199450K .......... .......... .......... .......... .......... 75% 31.5M 9s 199500K .......... .......... .......... .......... .......... 75% 30.3M 9s 199550K .......... .......... .......... .......... .......... 75% 42.2M 9s 199600K .......... .......... .......... .......... .......... 75% 21.4M 9s 199650K .......... .......... .......... .......... .......... 75% 334K 10s 199700K .......... .......... .......... .......... .......... 75% 105M 9s 199750K .......... .......... .......... .......... .......... 75% 35.5M 9s 199800K .......... .......... .......... .......... .......... 75% 33.8M 9s 199850K .......... .......... .......... .......... .......... 75% 30.0M 9s 199900K .......... .......... .......... .......... .......... 75% 102M 9s 199950K .......... .......... .......... .......... .......... 75% 49.8M 9s 200000K .......... .......... .......... .......... .......... 75% 41.7M 9s 200050K .......... .......... .......... .......... .......... 75% 46.0M 9s 200100K .......... .......... .......... .......... .......... 75% 21.5M 9s 200150K .......... .......... .......... .......... .......... 75% 151M 9s 200200K .......... .......... .......... .......... .......... 75% 28.9M 9s 200250K .......... .......... .......... .......... .......... 75% 31.6M 9s 200300K .......... .......... .......... .......... .......... 75% 41.3M 9s 200350K .......... .......... .......... .......... .......... 75% 30.1M 9s 200400K .......... .......... .......... .......... .......... 76% 26.8M 9s 200450K .......... .......... .......... .......... .......... 76% 24.5M 9s 200500K .......... .......... .......... .......... .......... 76% 37.2M 9s 200550K .......... .......... .......... .......... .......... 76% 30.1M 9s 200600K .......... .......... .......... .......... .......... 76% 27.1M 9s 200650K .......... .......... .......... .......... .......... 76% 29.4M 9s 200700K .......... .......... .......... .......... .......... 76% 283K 9s 200750K .......... .......... .......... .......... .......... 76% 237M 9s 200800K .......... .......... .......... .......... .......... 76% 34.7M 9s 200850K .......... .......... .......... .......... .......... 76% 61.9M 9s 200900K .......... .......... .......... .......... .......... 76% 49.4M 9s 200950K .......... .......... .......... .......... .......... 76% 63.8M 9s 201000K .......... .......... .......... .......... .......... 76% 48.8M 9s 201050K .......... .......... .......... .......... .......... 76% 45.5M 9s 201100K .......... .......... .......... .......... .......... 76% 33.9M 9s 201150K .......... .......... .......... .......... .......... 76% 138M 9s 201200K .......... .......... .......... .......... .......... 76% 34.8M 9s 201250K .......... .......... .......... .......... .......... 76% 42.5M 9s 201300K .......... .......... .......... .......... .......... 76% 58.6M 9s 201350K .......... .......... .......... .......... .......... 76% 42.9M 9s 201400K .......... .......... .......... .......... .......... 76% 78.9M 9s 201450K .......... .......... .......... .......... .......... 76% 33.2M 9s 201500K .......... .......... .......... .......... .......... 76% 79.4M 9s 201550K .......... .......... .......... .......... .......... 76% 40.9M 9s 201600K .......... .......... .......... .......... .......... 76% 39.9M 9s 201650K .......... .......... .......... .......... .......... 76% 50.9M 9s 201700K .......... .......... .......... .......... .......... 76% 34.4M 9s 201750K .......... .......... .......... .......... .......... 76% 95.7M 9s 201800K .......... .......... .......... .......... .......... 76% 34.3M 9s 201850K .......... .......... .......... .......... .......... 76% 41.8M 9s 201900K .......... .......... .......... .......... .......... 76% 39.8M 9s 201950K .......... .......... .......... .......... .......... 76% 54.2M 9s 202000K .......... .......... .......... .......... .......... 76% 68.2M 9s 202050K .......... .......... .......... .......... .......... 76% 49.5M 9s 202100K .......... .......... .......... .......... .......... 76% 43.3M 9s 202150K .......... .......... .......... .......... .......... 76% 44.3M 9s 202200K .......... .......... .......... .......... .......... 76% 42.1M 9s 202250K .......... .......... .......... .......... .......... 76% 37.5M 9s 202300K .......... .......... .......... .......... .......... 76% 118M 9s 202350K .......... .......... .......... .......... .......... 76% 41.0M 9s 202400K .......... .......... .......... .......... .......... 76% 49.9M 9s 202450K .......... .......... .......... .......... .......... 76% 51.9M 9s 202500K .......... .......... .......... .......... .......... 76% 95.9M 9s 202550K .......... .......... .......... .......... .......... 76% 53.4M 9s 202600K .......... .......... .......... .......... .......... 76% 54.3M 9s 202650K .......... .......... .......... .......... .......... 76% 76.3M 9s 202700K .......... .......... .......... .......... .......... 76% 30.3M 9s 202750K .......... .......... .......... .......... .......... 76% 204K 9s 202800K .......... .......... .......... .......... .......... 76% 150M 9s 202850K .......... .......... .......... .......... .......... 76% 118M 9s 202900K .......... .......... .......... .......... .......... 76% 120M 9s 202950K .......... .......... .......... .......... .......... 76% 104M 9s 203000K .......... .......... .......... .......... .......... 76% 117M 9s 203050K .......... .......... .......... .......... .......... 77% 182M 9s 203100K .......... .......... .......... .......... .......... 77% 139M 9s 203150K .......... .......... .......... .......... .......... 77% 162M 9s 203200K .......... .......... .......... .......... .......... 77% 66.4M 9s 203250K .......... .......... .......... .......... .......... 77% 81.2M 9s 203300K .......... .......... .......... .......... .......... 77% 72.8M 9s 203350K .......... .......... .......... .......... .......... 77% 65.8M 9s 203400K .......... .......... .......... .......... .......... 77% 83.0M 9s 203450K .......... .......... .......... .......... .......... 77% 91.6M 9s 203500K .......... .......... .......... .......... .......... 77% 39.5M 9s 203550K .......... .......... .......... .......... .......... 77% 105M 9s 203600K .......... .......... .......... .......... .......... 77% 48.1M 9s 203650K .......... .......... .......... .......... .......... 77% 91.8M 9s 203700K .......... .......... .......... .......... .......... 77% 76.4M 9s 203750K .......... .......... .......... .......... .......... 77% 410K 9s 203800K .......... .......... .......... .......... .......... 77% 252M 9s 203850K .......... .......... .......... .......... .......... 77% 117M 9s 203900K .......... .......... .......... .......... .......... 77% 81.4M 9s 203950K .......... .......... .......... .......... .......... 77% 146M 9s 204000K .......... .......... .......... .......... .......... 77% 144M 9s 204050K .......... .......... .......... .......... .......... 77% 144M 9s 204100K .......... .......... .......... .......... .......... 77% 96.1M 9s 204150K .......... .......... .......... .......... .......... 77% 133M 9s 204200K .......... .......... .......... .......... .......... 77% 105M 9s 204250K .......... .......... .......... .......... .......... 77% 100M 9s 204300K .......... .......... .......... .......... .......... 77% 117M 9s 204350K .......... .......... .......... .......... .......... 77% 120M 9s 204400K .......... .......... .......... .......... .......... 77% 124M 9s 204450K .......... .......... .......... .......... .......... 77% 99.4M 9s 204500K .......... .......... .......... .......... .......... 77% 79.0M 9s 204550K .......... .......... .......... .......... .......... 77% 111M 9s 204600K .......... .......... .......... .......... .......... 77% 44.2M 9s 204650K .......... .......... .......... .......... .......... 77% 110M 9s 204700K .......... .......... .......... .......... .......... 77% 36.7M 9s 204750K .......... .......... .......... .......... .......... 77% 103M 9s 204800K .......... .......... .......... .......... .......... 77% 337K 9s 204850K .......... .......... .......... .......... .......... 77% 118M 9s 204900K .......... .......... .......... .......... .......... 77% 127M 9s 204950K .......... .......... .......... .......... .......... 77% 147M 9s 205000K .......... .......... .......... .......... .......... 77% 110M 9s 205050K .......... .......... .......... .......... .......... 77% 124M 9s 205100K .......... .......... .......... .......... .......... 77% 110M 9s 205150K .......... .......... .......... .......... .......... 77% 146M 9s 205200K .......... .......... .......... .......... .......... 77% 183M 9s 205250K .......... .......... .......... .......... .......... 77% 134M 9s 205300K .......... .......... .......... .......... .......... 77% 149M 9s 205350K .......... .......... .......... .......... .......... 77% 144M 9s 205400K .......... .......... .......... .......... .......... 77% 143M 9s 205450K .......... .......... .......... .......... .......... 77% 98.8M 9s 205500K .......... .......... .......... .......... .......... 77% 102M 9s 205550K .......... .......... .......... .......... .......... 77% 58.6M 9s 205600K .......... .......... .......... .......... .......... 77% 101M 9s 205650K .......... .......... .......... .......... .......... 77% 44.2M 9s 205700K .......... .......... .......... .......... .......... 78% 108M 9s 205750K .......... .......... .......... .......... .......... 78% 15.1M 9s 205800K .......... .......... .......... .......... .......... 78% 95.9M 9s 205850K .......... .......... .......... .......... .......... 78% 83.5M 9s 205900K .......... .......... .......... .......... .......... 78% 41.7M 9s 205950K .......... .......... .......... .......... .......... 78% 98.8M 9s 206000K .......... .......... .......... .......... .......... 78% 113M 9s 206050K .......... .......... .......... .......... .......... 78% 43.5M 9s 206100K .......... .......... .......... .......... .......... 78% 37.8M 9s 206150K .......... .......... .......... .......... .......... 78% 39.8M 8s 206200K .......... .......... .......... .......... .......... 78% 34.8M 8s 206250K .......... .......... .......... .......... .......... 78% 41.4M 8s 206300K .......... .......... .......... .......... .......... 78% 39.0M 8s 206350K .......... .......... .......... .......... .......... 78% 40.2M 8s 206400K .......... .......... .......... .......... .......... 78% 37.2M 8s 206450K .......... .......... .......... .......... .......... 78% 37.7M 8s 206500K .......... .......... .......... .......... .......... 78% 44.4M 8s 206550K .......... .......... .......... .......... .......... 78% 49.5M 8s 206600K .......... .......... .......... .......... .......... 78% 45.9M 8s 206650K .......... .......... .......... .......... .......... 78% 41.1M 8s 206700K .......... .......... .......... .......... .......... 78% 53.0M 8s 206750K .......... .......... .......... .......... .......... 78% 48.0M 8s 206800K .......... .......... .......... .......... .......... 78% 46.6M 8s 206850K .......... .......... .......... .......... .......... 78% 229K 8s 206900K .......... .......... .......... .......... .......... 78% 215M 8s 206950K .......... .......... .......... .......... .......... 78% 109M 8s 207000K .......... .......... .......... .......... .......... 78% 136M 8s 207050K .......... .......... .......... .......... .......... 78% 152M 8s 207100K .......... .......... .......... .......... .......... 78% 110M 8s 207150K .......... .......... .......... .......... .......... 78% 212M 8s 207200K .......... .......... .......... .......... .......... 78% 117M 8s 207250K .......... .......... .......... .......... .......... 78% 82.8M 8s 207300K .......... .......... .......... .......... .......... 78% 48.7M 8s 207350K .......... .......... .......... .......... .......... 78% 36.6M 8s 207400K .......... .......... .......... .......... .......... 78% 49.3M 8s 207450K .......... .......... .......... .......... .......... 78% 46.8M 8s 207500K .......... .......... .......... .......... .......... 78% 37.8M 8s 207550K .......... .......... .......... .......... .......... 78% 42.6M 8s 207600K .......... .......... .......... .......... .......... 78% 40.9M 8s 207650K .......... .......... .......... .......... .......... 78% 38.0M 8s 207700K .......... .......... .......... .......... .......... 78% 19.7M 8s 207750K .......... .......... .......... .......... .......... 78% 26.5M 8s 207800K .......... .......... .......... .......... .......... 78% 44.8M 8s 207850K .......... .......... .......... .......... .......... 78% 237K 8s 207900K .......... .......... .......... .......... .......... 78% 159M 8s 207950K .......... .......... .......... .......... .......... 78% 133M 8s 208000K .......... .......... .......... .......... .......... 78% 208M 8s 208050K .......... .......... .......... .......... .......... 78% 126M 8s 208100K .......... .......... .......... .......... .......... 78% 106M 8s 208150K .......... .......... .......... .......... .......... 78% 116M 8s 208200K .......... .......... .......... .......... .......... 78% 99.6M 8s 208250K .......... .......... .......... .......... .......... 78% 106M 8s 208300K .......... .......... .......... .......... .......... 79% 86.4M 8s 208350K .......... .......... .......... .......... .......... 79% 46.8M 8s 208400K .......... .......... .......... .......... .......... 79% 48.6M 8s 208450K .......... .......... .......... .......... .......... 79% 35.0M 8s 208500K .......... .......... .......... .......... .......... 79% 97.3M 8s 208550K .......... .......... .......... .......... .......... 79% 47.3M 8s 208600K .......... .......... .......... .......... .......... 79% 43.6M 8s 208650K .......... .......... .......... .......... .......... 79% 63.0M 8s 208700K .......... .......... .......... .......... .......... 79% 45.2M 8s 208750K .......... .......... .......... .......... .......... 79% 35.3M 8s 208800K .......... .......... .......... .......... .......... 79% 125M 8s 208850K .......... .......... .......... .......... .......... 79% 352K 8s 208900K .......... .......... .......... .......... .......... 79% 144M 8s 208950K .......... .......... .......... .......... .......... 79% 113M 8s 209000K .......... .......... .......... .......... .......... 79% 155M 8s 209050K .......... .......... .......... .......... .......... 79% 141M 8s 209100K .......... .......... .......... .......... .......... 79% 136M 8s 209150K .......... .......... .......... .......... .......... 79% 141M 8s 209200K .......... .......... .......... .......... .......... 79% 107M 8s 209250K .......... .......... .......... .......... .......... 79% 113M 8s 209300K .......... .......... .......... .......... .......... 79% 34.7M 8s 209350K .......... .......... .......... .......... .......... 79% 61.0M 8s 209400K .......... .......... .......... .......... .......... 79% 128M 8s 209450K .......... .......... .......... .......... .......... 79% 72.2M 8s 209500K .......... .......... .......... .......... .......... 79% 50.5M 8s 209550K .......... .......... .......... .......... .......... 79% 39.7M 8s 209600K .......... .......... .......... .......... .......... 79% 45.5M 8s 209650K .......... .......... .......... .......... .......... 79% 49.6M 8s 209700K .......... .......... .......... .......... .......... 79% 39.2M 8s 209750K .......... .......... .......... .......... .......... 79% 49.3M 8s 209800K .......... .......... .......... .......... .......... 79% 83.2M 8s 209850K .......... .......... .......... .......... .......... 79% 42.8M 8s 209900K .......... .......... .......... .......... .......... 79% 45.5M 8s 209950K .......... .......... .......... .......... .......... 79% 44.1M 8s 210000K .......... .......... .......... .......... .......... 79% 51.2M 8s 210050K .......... .......... .......... .......... .......... 79% 38.9M 8s 210100K .......... .......... .......... .......... .......... 79% 41.1M 8s 210150K .......... .......... .......... .......... .......... 79% 37.2M 8s 210200K .......... .......... .......... .......... .......... 79% 38.5M 8s 210250K .......... .......... .......... .......... .......... 79% 51.6M 8s 210300K .......... .......... .......... .......... .......... 79% 78.9M 8s 210350K .......... .......... .......... .......... .......... 79% 41.9M 8s 210400K .......... .......... .......... .......... .......... 79% 41.6M 8s 210450K .......... .......... .......... .......... .......... 79% 41.1M 8s 210500K .......... .......... .......... .......... .......... 79% 42.5M 8s 210550K .......... .......... .......... .......... .......... 79% 37.4M 8s 210600K .......... .......... .......... .......... .......... 79% 39.2M 8s 210650K .......... .......... .......... .......... .......... 79% 45.1M 8s 210700K .......... .......... .......... .......... .......... 79% 43.6M 8s 210750K .......... .......... .......... .......... .......... 79% 45.0M 8s 210800K .......... .......... .......... .......... .......... 79% 42.5M 8s 210850K .......... .......... .......... .......... .......... 79% 47.5M 8s 210900K .......... .......... .......... .......... .......... 79% 48.6M 8s 210950K .......... .......... .......... .......... .......... 80% 83.5M 8s 211000K .......... .......... .......... .......... .......... 80% 48.7M 8s 211050K .......... .......... .......... .......... .......... 80% 40.8M 8s 211100K .......... .......... .......... .......... .......... 80% 34.1M 8s 211150K .......... .......... .......... .......... .......... 80% 99.6M 8s 211200K .......... .......... .......... .......... .......... 80% 29.0M 8s 211250K .......... .......... .......... .......... .......... 80% 16.6M 8s 211300K .......... .......... .......... .......... .......... 80% 106M 8s 211350K .......... .......... .......... .......... .......... 80% 132M 8s 211400K .......... .......... .......... .......... .......... 80% 69.3M 8s 211450K .......... .......... .......... .......... .......... 80% 104M 8s 211500K .......... .......... .......... .......... .......... 80% 86.7M 8s 211550K .......... .......... .......... .......... .......... 80% 36.8M 8s 211600K .......... .......... .......... .......... .......... 80% 38.3M 8s 211650K .......... .......... .......... .......... .......... 80% 118M 8s 211700K .......... .......... .......... .......... .......... 80% 32.4M 8s 211750K .......... .......... .......... .......... .......... 80% 59.2M 8s 211800K .......... .......... .......... .......... .......... 80% 50.6M 8s 211850K .......... .......... .......... .......... .......... 80% 48.7M 8s 211900K .......... .......... .......... .......... .......... 80% 48.3M 8s 211950K .......... .......... .......... .......... .......... 80% 207K 8s 212000K .......... .......... .......... .......... .......... 80% 132M 8s 212050K .......... .......... .......... .......... .......... 80% 182M 8s 212100K .......... .......... .......... .......... .......... 80% 92.3M 8s 212150K .......... .......... .......... .......... .......... 80% 145M 8s 212200K .......... .......... .......... .......... .......... 80% 109M 8s 212250K .......... .......... .......... .......... .......... 80% 166M 8s 212300K .......... .......... .......... .......... .......... 80% 124M 8s 212350K .......... .......... .......... .......... .......... 80% 131M 8s 212400K .......... .......... .......... .......... .......... 80% 161M 8s 212450K .......... .......... .......... .......... .......... 80% 124M 8s 212500K .......... .......... .......... .......... .......... 80% 138M 8s 212550K .......... .......... .......... .......... .......... 80% 57.9M 8s 212600K .......... .......... .......... .......... .......... 80% 63.1M 8s 212650K .......... .......... .......... .......... .......... 80% 57.9M 8s 212700K .......... .......... .......... .......... .......... 80% 64.2M 8s 212750K .......... .......... .......... .......... .......... 80% 58.1M 8s 212800K .......... .......... .......... .......... .......... 80% 93.0M 7s 212850K .......... .......... .......... .......... .......... 80% 27.7M 7s 212900K .......... .......... .......... .......... .......... 80% 97.7M 7s 212950K .......... .......... .......... .......... .......... 80% 233K 8s 213000K .......... .......... .......... .......... .......... 80% 106M 8s 213050K .......... .......... .......... .......... .......... 80% 113M 8s 213100K .......... .......... .......... .......... .......... 80% 117M 7s 213150K .......... .......... .......... .......... .......... 80% 136M 7s 213200K .......... .......... .......... .......... .......... 80% 99.2M 7s 213250K .......... .......... .......... .......... .......... 80% 138M 7s 213300K .......... .......... .......... .......... .......... 80% 131M 7s 213350K .......... .......... .......... .......... .......... 80% 104M 7s 213400K .......... .......... .......... .......... .......... 80% 53.1M 7s 213450K .......... .......... .......... .......... .......... 80% 27.4M 7s 213500K .......... .......... .......... .......... .......... 80% 24.3M 7s 213550K .......... .......... .......... .......... .......... 80% 38.7M 7s 213600K .......... .......... .......... .......... .......... 81% 17.9M 7s 213650K .......... .......... .......... .......... .......... 81% 28.7M 7s 213700K .......... .......... .......... .......... .......... 81% 28.0M 7s 213750K .......... .......... .......... .......... .......... 81% 39.3M 7s 213800K .......... .......... .......... .......... .......... 81% 32.4M 7s 213850K .......... .......... .......... .......... .......... 81% 29.1M 7s 213900K .......... .......... .......... .......... .......... 81% 29.9M 7s 213950K .......... .......... .......... .......... .......... 81% 25.9M 7s 214000K .......... .......... .......... .......... .......... 81% 38.6M 7s 214050K .......... .......... .......... .......... .......... 81% 32.1M 7s 214100K .......... .......... .......... .......... .......... 81% 27.6M 7s 214150K .......... .......... .......... .......... .......... 81% 37.8M 7s 214200K .......... .......... .......... .......... .......... 81% 24.5M 7s 214250K .......... .......... .......... .......... .......... 81% 32.8M 7s 214300K .......... .......... .......... .......... .......... 81% 37.0M 7s 214350K .......... .......... .......... .......... .......... 81% 24.7M 7s 214400K .......... .......... .......... .......... .......... 81% 31.6M 7s 214450K .......... .......... .......... .......... .......... 81% 36.3M 7s 214500K .......... .......... .......... .......... .......... 81% 36.1M 7s 214550K .......... .......... .......... .......... .......... 81% 109M 7s 214600K .......... .......... .......... .......... .......... 81% 57.5M 7s 214650K .......... .......... .......... .......... .......... 81% 103M 7s 214700K .......... .......... .......... .......... .......... 81% 82.6M 7s 214750K .......... .......... .......... .......... .......... 81% 106M 7s 214800K .......... .......... .......... .......... .......... 81% 81.8M 7s 214850K .......... .......... .......... .......... .......... 81% 86.0M 7s 214900K .......... .......... .......... .......... .......... 81% 62.2M 7s 214950K .......... .......... .......... .......... .......... 81% 47.8M 7s 215000K .......... .......... .......... .......... .......... 81% 151K 7s 215050K .......... .......... .......... .......... .......... 81% 157M 7s 215100K .......... .......... .......... .......... .......... 81% 118M 7s 215150K .......... .......... .......... .......... .......... 81% 135M 7s 215200K .......... .......... .......... .......... .......... 81% 92.1M 7s 215250K .......... .......... .......... .......... .......... 81% 146M 7s 215300K .......... .......... .......... .......... .......... 81% 103M 7s 215350K .......... .......... .......... .......... .......... 81% 162M 7s 215400K .......... .......... .......... .......... .......... 81% 161M 7s 215450K .......... .......... .......... .......... .......... 81% 143M 7s 215500K .......... .......... .......... .......... .......... 81% 119M 7s 215550K .......... .......... .......... .......... .......... 81% 100M 7s 215600K .......... .......... .......... .......... .......... 81% 52.1M 7s 215650K .......... .......... .......... .......... .......... 81% 89.4M 7s 215700K .......... .......... .......... .......... .......... 81% 63.9M 7s 215750K .......... .......... .......... .......... .......... 81% 43.3M 7s 215800K .......... .......... .......... .......... .......... 81% 123M 7s 215850K .......... .......... .......... .......... .......... 81% 42.7M 7s 215900K .......... .......... .......... .......... .......... 81% 72.4M 7s 215950K .......... .......... .......... .......... .......... 81% 68.6M 7s 216000K .......... .......... .......... .......... .......... 81% 82.8M 7s 216050K .......... .......... .......... .......... .......... 81% 73.1M 7s 216100K .......... .......... .......... .......... .......... 81% 54.2M 7s 216150K .......... .......... .......... .......... .......... 81% 66.0M 7s 216200K .......... .......... .......... .......... .......... 82% 57.9M 7s 216250K .......... .......... .......... .......... .......... 82% 58.2M 7s 216300K .......... .......... .......... .......... .......... 82% 97.1M 7s 216350K .......... .......... .......... .......... .......... 82% 67.6M 7s 216400K .......... .......... .......... .......... .......... 82% 83.0M 7s 216450K .......... .......... .......... .......... .......... 82% 54.6M 7s 216500K .......... .......... .......... .......... .......... 82% 62.0M 7s 216550K .......... .......... .......... .......... .......... 82% 44.6M 7s 216600K .......... .......... .......... .......... .......... 82% 102M 7s 216650K .......... .......... .......... .......... .......... 82% 62.0M 7s 216700K .......... .......... .......... .......... .......... 82% 74.7M 7s 216750K .......... .......... .......... .......... .......... 82% 77.0M 7s 216800K .......... .......... .......... .......... .......... 82% 78.6M 7s 216850K .......... .......... .......... .......... .......... 82% 56.1M 7s 216900K .......... .......... .......... .......... .......... 82% 75.8M 7s 216950K .......... .......... .......... .......... .......... 82% 70.2M 7s 217000K .......... .......... .......... .......... .......... 82% 55.8M 7s 217050K .......... .......... .......... .......... .......... 82% 153K 7s 217100K .......... .......... .......... .......... .......... 82% 155M 7s 217150K .......... .......... .......... .......... .......... 82% 125M 7s 217200K .......... .......... .......... .......... .......... 82% 104M 7s 217250K .......... .......... .......... .......... .......... 82% 130M 7s 217300K .......... .......... .......... .......... .......... 82% 114M 7s 217350K .......... .......... .......... .......... .......... 82% 120M 7s 217400K .......... .......... .......... .......... .......... 82% 133M 7s 217450K .......... .......... .......... .......... .......... 82% 128M 7s 217500K .......... .......... .......... .......... .......... 82% 176M 7s 217550K .......... .......... .......... .......... .......... 82% 144M 7s 217600K .......... .......... .......... .......... .......... 82% 39.4M 7s 217650K .......... .......... .......... .......... .......... 82% 57.8M 7s 217700K .......... .......... .......... .......... .......... 82% 36.1M 7s 217750K .......... .......... .......... .......... .......... 82% 51.6M 7s 217800K .......... .......... .......... .......... .......... 82% 57.6M 7s 217850K .......... .......... .......... .......... .......... 82% 51.6M 7s 217900K .......... .......... .......... .......... .......... 82% 44.5M 7s 217950K .......... .......... .......... .......... .......... 82% 69.8M 7s 218000K .......... .......... .......... .......... .......... 82% 78.9M 7s 218050K .......... .......... .......... .......... .......... 82% 32.8M 7s 218100K .......... .......... .......... .......... .......... 82% 150K 7s 218150K .......... .......... .......... .......... .......... 82% 165M 7s 218200K .......... .......... .......... .......... .......... 82% 135M 7s 218250K .......... .......... .......... .......... .......... 82% 110M 7s 218300K .......... .......... .......... .......... .......... 82% 151M 7s 218350K .......... .......... .......... .......... .......... 82% 112M 7s 218400K .......... .......... .......... .......... .......... 82% 167M 7s 218450K .......... .......... .......... .......... .......... 82% 128M 7s 218500K .......... .......... .......... .......... .......... 82% 114M 7s 218550K .......... .......... .......... .......... .......... 82% 145M 7s 218600K .......... .......... .......... .......... .......... 82% 66.3M 7s 218650K .......... .......... .......... .......... .......... 82% 110M 7s 218700K .......... .......... .......... .......... .......... 82% 59.0M 7s 218750K .......... .......... .......... .......... .......... 82% 73.3M 7s 218800K .......... .......... .......... .......... .......... 82% 91.3M 7s 218850K .......... .......... .......... .......... .......... 83% 64.8M 7s 218900K .......... .......... .......... .......... .......... 83% 67.1M 7s 218950K .......... .......... .......... .......... .......... 83% 93.0M 7s 219000K .......... .......... .......... .......... .......... 83% 49.9M 7s 219050K .......... .......... .......... .......... .......... 83% 73.6M 7s 219100K .......... .......... .......... .......... .......... 83% 78.4M 7s 219150K .......... .......... .......... .......... .......... 83% 44.9M 7s 219200K .......... .......... .......... .......... .......... 83% 75.9M 7s 219250K .......... .......... .......... .......... .......... 83% 70.9M 7s 219300K .......... .......... .......... .......... .......... 83% 77.8M 7s 219350K .......... .......... .......... .......... .......... 83% 48.2M 7s 219400K .......... .......... .......... .......... .......... 83% 119M 7s 219450K .......... .......... .......... .......... .......... 83% 43.3M 7s 219500K .......... .......... .......... .......... .......... 83% 48.9M 7s 219550K .......... .......... .......... .......... .......... 83% 39.1M 7s 219600K .......... .......... .......... .......... .......... 83% 87.7M 7s 219650K .......... .......... .......... .......... .......... 83% 32.9M 7s 219700K .......... .......... .......... .......... .......... 83% 45.3M 7s 219750K .......... .......... .......... .......... .......... 83% 117M 7s 219800K .......... .......... .......... .......... .......... 83% 49.2M 7s 219850K .......... .......... .......... .......... .......... 83% 50.3M 7s 219900K .......... .......... .......... .......... .......... 83% 31.4M 7s 219950K .......... .......... .......... .......... .......... 83% 123M 6s 220000K .......... .......... .......... .......... .......... 83% 119M 6s 220050K .......... .......... .......... .......... .......... 83% 61.0M 6s 220100K .......... .......... .......... .......... .......... 83% 58.9M 6s 220150K .......... .......... .......... .......... .......... 83% 304K 6s 220200K .......... .......... .......... .......... .......... 83% 127M 6s 220250K .......... .......... .......... .......... .......... 83% 119M 6s 220300K .......... .......... .......... .......... .......... 83% 119M 6s 220350K .......... .......... .......... .......... .......... 83% 116M 6s 220400K .......... .......... .......... .......... .......... 83% 133M 6s 220450K .......... .......... .......... .......... .......... 83% 106M 6s 220500K .......... .......... .......... .......... .......... 83% 14.6M 6s 220550K .......... .......... .......... .......... .......... 83% 122M 6s 220600K .......... .......... .......... .......... .......... 83% 111M 6s 220650K .......... .......... .......... .......... .......... 83% 70.9M 6s 220700K .......... .......... .......... .......... .......... 83% 23.1M 6s 220750K .......... .......... .......... .......... .......... 83% 69.8M 6s 220800K .......... .......... .......... .......... .......... 83% 24.2M 6s 220850K .......... .......... .......... .......... .......... 83% 34.8M 6s 220900K .......... .......... .......... .......... .......... 83% 29.6M 6s 220950K .......... .......... .......... .......... .......... 83% 36.0M 6s 221000K .......... .......... .......... .......... .......... 83% 42.1M 6s 221050K .......... .......... .......... .......... .......... 83% 31.4M 6s 221100K .......... .......... .......... .......... .......... 83% 31.3M 6s 221150K .......... .......... .......... .......... .......... 83% 190K 6s 221200K .......... .......... .......... .......... .......... 83% 124M 6s 221250K .......... .......... .......... .......... .......... 83% 139M 6s 221300K .......... .......... .......... .......... .......... 83% 142M 6s 221350K .......... .......... .......... .......... .......... 83% 117M 6s 221400K .......... .......... .......... .......... .......... 83% 107M 6s 221450K .......... .......... .......... .......... .......... 83% 108M 6s 221500K .......... .......... .......... .......... .......... 84% 146M 6s 221550K .......... .......... .......... .......... .......... 84% 159M 6s 221600K .......... .......... .......... .......... .......... 84% 42.7M 6s 221650K .......... .......... .......... .......... .......... 84% 54.9M 6s 221700K .......... .......... .......... .......... .......... 84% 50.6M 6s 221750K .......... .......... .......... .......... .......... 84% 42.7M 6s 221800K .......... .......... .......... .......... .......... 84% 48.9M 6s 221850K .......... .......... .......... .......... .......... 84% 46.6M 6s 221900K .......... .......... .......... .......... .......... 84% 53.2M 6s 221950K .......... .......... .......... .......... .......... 84% 36.1M 6s 222000K .......... .......... .......... .......... .......... 84% 46.8M 6s 222050K .......... .......... .......... .......... .......... 84% 84.3M 6s 222100K .......... .......... .......... .......... .......... 84% 25.3M 6s 222150K .......... .......... .......... .......... .......... 84% 50.4M 6s 222200K .......... .......... .......... .......... .......... 84% 44.7M 6s 222250K .......... .......... .......... .......... .......... 84% 46.4M 6s 222300K .......... .......... .......... .......... .......... 84% 57.6M 6s 222350K .......... .......... .......... .......... .......... 84% 41.6M 6s 222400K .......... .......... .......... .......... .......... 84% 47.7M 6s 222450K .......... .......... .......... .......... .......... 84% 43.3M 6s 222500K .......... .......... .......... .......... .......... 84% 42.5M 6s 222550K .......... .......... .......... .......... .......... 84% 32.0M 6s 222600K .......... .......... .......... .......... .......... 84% 45.7M 6s 222650K .......... .......... .......... .......... .......... 84% 44.6M 6s 222700K .......... .......... .......... .......... .......... 84% 29.3M 6s 222750K .......... .......... .......... .......... .......... 84% 45.5M 6s 222800K .......... .......... .......... .......... .......... 84% 40.5M 6s 222850K .......... .......... .......... .......... .......... 84% 35.7M 6s 222900K .......... .......... .......... .......... .......... 84% 103M 6s 222950K .......... .......... .......... .......... .......... 84% 42.9M 6s 223000K .......... .......... .......... .......... .......... 84% 106M 6s 223050K .......... .......... .......... .......... .......... 84% 48.3M 6s 223100K .......... .......... .......... .......... .......... 84% 104M 6s 223150K .......... .......... .......... .......... .......... 84% 68.1M 6s 223200K .......... .......... .......... .......... .......... 84% 1.31M 6s 223250K .......... .......... .......... .......... .......... 84% 173M 6s 223300K .......... .......... .......... .......... .......... 84% 205M 6s 223350K .......... .......... .......... .......... .......... 84% 137M 6s 223400K .......... .......... .......... .......... .......... 84% 149M 6s 223450K .......... .......... .......... .......... .......... 84% 108M 6s 223500K .......... .......... .......... .......... .......... 84% 101M 6s 223550K .......... .......... .......... .......... .......... 84% 129M 6s 223600K .......... .......... .......... .......... .......... 84% 100M 6s 223650K .......... .......... .......... .......... .......... 84% 119M 6s 223700K .......... .......... .......... .......... .......... 84% 14.5M 6s 223750K .......... .......... .......... .......... .......... 84% 144M 6s 223800K .......... .......... .......... .......... .......... 84% 145M 6s 223850K .......... .......... .......... .......... .......... 84% 86.7M 6s 223900K .......... .......... .......... .......... .......... 84% 124M 6s 223950K .......... .......... .......... .......... .......... 84% 127M 6s 224000K .......... .......... .......... .......... .......... 84% 103M 6s 224050K .......... .......... .......... .......... .......... 84% 93.0M 6s 224100K .......... .......... .......... .......... .......... 84% 96.9M 6s 224150K .......... .......... .......... .......... .......... 85% 48.2M 6s 224200K .......... .......... .......... .......... .......... 85% 65.7M 6s 224250K .......... .......... .......... .......... .......... 85% 356K 6s 224300K .......... .......... .......... .......... .......... 85% 154M 6s 224350K .......... .......... .......... .......... .......... 85% 96.9M 6s 224400K .......... .......... .......... .......... .......... 85% 118M 6s 224450K .......... .......... .......... .......... .......... 85% 96.5M 6s 224500K .......... .......... .......... .......... .......... 85% 126M 6s 224550K .......... .......... .......... .......... .......... 85% 138M 6s 224600K .......... .......... .......... .......... .......... 85% 94.6M 6s 224650K .......... .......... .......... .......... .......... 85% 146M 6s 224700K .......... .......... .......... .......... .......... 85% 151M 6s 224750K .......... .......... .......... .......... .......... 85% 15.7M 6s 224800K .......... .......... .......... .......... .......... 85% 160M 6s 224850K .......... .......... .......... .......... .......... 85% 112M 6s 224900K .......... .......... .......... .......... .......... 85% 151M 6s 224950K .......... .......... .......... .......... .......... 85% 214M 6s 225000K .......... .......... .......... .......... .......... 85% 191M 6s 225050K .......... .......... .......... .......... .......... 85% 86.3M 6s 225100K .......... .......... .......... .......... .......... 85% 107M 6s 225150K .......... .......... .......... .......... .......... 85% 44.5M 6s 225200K .......... .......... .......... .......... .......... 85% 42.7M 6s 225250K .......... .......... .......... .......... .......... 85% 70.1M 6s 225300K .......... .......... .......... .......... .......... 85% 113M 6s 225350K .......... .......... .......... .......... .......... 85% 46.9M 6s 225400K .......... .......... .......... .......... .......... 85% 43.9M 6s 225450K .......... .......... .......... .......... .......... 85% 86.7M 6s 225500K .......... .......... .......... .......... .......... 85% 53.0M 6s 225550K .......... .......... .......... .......... .......... 85% 86.6M 6s 225600K .......... .......... .......... .......... .......... 85% 49.9M 6s 225650K .......... .......... .......... .......... .......... 85% 53.6M 6s 225700K .......... .......... .......... .......... .......... 85% 113M 6s 225750K .......... .......... .......... .......... .......... 85% 33.9M 6s 225800K .......... .......... .......... .......... .......... 85% 95.9M 6s 225850K .......... .......... .......... .......... .......... 85% 57.4M 6s 225900K .......... .......... .......... .......... .......... 85% 70.0M 6s 225950K .......... .......... .......... .......... .......... 85% 66.3M 6s 226000K .......... .......... .......... .......... .......... 85% 58.2M 6s 226050K .......... .......... .......... .......... .......... 85% 107M 6s 226100K .......... .......... .......... .......... .......... 85% 44.4M 6s 226150K .......... .......... .......... .......... .......... 85% 46.8M 6s 226200K .......... .......... .......... .......... .......... 85% 93.7M 6s 226250K .......... .......... .......... .......... .......... 85% 74.8M 6s 226300K .......... .......... .......... .......... .......... 85% 258K 6s 226350K .......... .......... .......... .......... .......... 85% 112M 6s 226400K .......... .......... .......... .......... .......... 85% 152M 6s 226450K .......... .......... .......... .......... .......... 85% 119M 6s 226500K .......... .......... .......... .......... .......... 85% 127M 6s 226550K .......... .......... .......... .......... .......... 85% 122M 6s 226600K .......... .......... .......... .......... .......... 85% 116M 5s 226650K .......... .......... .......... .......... .......... 85% 163M 5s 226700K .......... .......... .......... .......... .......... 85% 144M 5s 226750K .......... .......... .......... .......... .......... 86% 164M 5s 226800K .......... .......... .......... .......... .......... 86% 117M 5s 226850K .......... .......... .......... .......... .......... 86% 158M 5s 226900K .......... .......... .......... .......... .......... 86% 69.6M 5s 226950K .......... .......... .......... .......... .......... 86% 78.0M 5s 227000K .......... .......... .......... .......... .......... 86% 94.3M 5s 227050K .......... .......... .......... .......... .......... 86% 57.4M 5s 227100K .......... .......... .......... .......... .......... 86% 76.8M 5s 227150K .......... .......... .......... .......... .......... 86% 83.2M 5s 227200K .......... .......... .......... .......... .......... 86% 67.6M 5s 227250K .......... .......... .......... .......... .......... 86% 68.6M 5s 227300K .......... .......... .......... .......... .......... 86% 3.39M 5s 227350K .......... .......... .......... .......... .......... 86% 145M 5s 227400K .......... .......... .......... .......... .......... 86% 140M 5s 227450K .......... .......... .......... .......... .......... 86% 140M 5s 227500K .......... .......... .......... .......... .......... 86% 140M 5s 227550K .......... .......... .......... .......... .......... 86% 135M 5s 227600K .......... .......... .......... .......... .......... 86% 114M 5s 227650K .......... .......... .......... .......... .......... 86% 132M 5s 227700K .......... .......... .......... .......... .......... 86% 99.4M 5s 227750K .......... .......... .......... .......... .......... 86% 154M 5s 227800K .......... .......... .......... .......... .......... 86% 22.8M 5s 227850K .......... .......... .......... .......... .......... 86% 105M 5s 227900K .......... .......... .......... .......... .......... 86% 145M 5s 227950K .......... .......... .......... .......... .......... 86% 133M 5s 228000K .......... .......... .......... .......... .......... 86% 152M 5s 228050K .......... .......... .......... .......... .......... 86% 56.2M 5s 228100K .......... .......... .......... .......... .......... 86% 51.1M 5s 228150K .......... .......... .......... .......... .......... 86% 76.4M 5s 228200K .......... .......... .......... .......... .......... 86% 70.9M 5s 228250K .......... .......... .......... .......... .......... 86% 83.6M 5s 228300K .......... .......... .......... .......... .......... 86% 43.4M 5s 228350K .......... .......... .......... .......... .......... 86% 163K 5s 228400K .......... .......... .......... .......... .......... 86% 137M 5s 228450K .......... .......... .......... .......... .......... 86% 103M 5s 228500K .......... .......... .......... .......... .......... 86% 146M 5s 228550K .......... .......... .......... .......... .......... 86% 148M 5s 228600K .......... .......... .......... .......... .......... 86% 113M 5s 228650K .......... .......... .......... .......... .......... 86% 127M 5s 228700K .......... .......... .......... .......... .......... 86% 104M 5s 228750K .......... .......... .......... .......... .......... 86% 18.1M 5s 228800K .......... .......... .......... .......... .......... 86% 154M 5s 228850K .......... .......... .......... .......... .......... 86% 107M 5s 228900K .......... .......... .......... .......... .......... 86% 29.3M 5s 228950K .......... .......... .......... .......... .......... 86% 43.6M 5s 229000K .......... .......... .......... .......... .......... 86% 43.4M 5s 229050K .......... .......... .......... .......... .......... 86% 15.3M 5s 229100K .......... .......... .......... .......... .......... 86% 89.1M 5s 229150K .......... .......... .......... .......... .......... 86% 148M 5s 229200K .......... .......... .......... .......... .......... 86% 45.9M 5s 229250K .......... .......... .......... .......... .......... 86% 47.5M 5s 229300K .......... .......... .......... .......... .......... 86% 54.4M 5s 229350K .......... .......... .......... .......... .......... 86% 430K 5s 229400K .......... .......... .......... .......... .......... 87% 139M 5s 229450K .......... .......... .......... .......... .......... 87% 47.5M 5s 229500K .......... .......... .......... .......... .......... 87% 57.9M 5s 229550K .......... .......... .......... .......... .......... 87% 67.1M 5s 229600K .......... .......... .......... .......... .......... 87% 48.5M 5s 229650K .......... .......... .......... .......... .......... 87% 55.7M 5s 229700K .......... .......... .......... .......... .......... 87% 33.8M 5s 229750K .......... .......... .......... .......... .......... 87% 49.2M 5s 229800K .......... .......... .......... .......... .......... 87% 30.6M 5s 229850K .......... .......... .......... .......... .......... 87% 26.4M 5s 229900K .......... .......... .......... .......... .......... 87% 44.8M 5s 229950K .......... .......... .......... .......... .......... 87% 44.3M 5s 230000K .......... .......... .......... .......... .......... 87% 39.6M 5s 230050K .......... .......... .......... .......... .......... 87% 31.3M 5s 230100K .......... .......... .......... .......... .......... 87% 40.9M 5s 230150K .......... .......... .......... .......... .......... 87% 42.0M 5s 230200K .......... .......... .......... .......... .......... 87% 37.6M 5s 230250K .......... .......... .......... .......... .......... 87% 36.0M 5s 230300K .......... .......... .......... .......... .......... 87% 45.4M 5s 230350K .......... .......... .......... .......... .......... 87% 36.3M 5s 230400K .......... .......... .......... .......... .......... 87% 42.0M 5s 230450K .......... .......... .......... .......... .......... 87% 33.4M 5s 230500K .......... .......... .......... .......... .......... 87% 41.5M 5s 230550K .......... .......... .......... .......... .......... 87% 33.6M 5s 230600K .......... .......... .......... .......... .......... 87% 31.2M 5s 230650K .......... .......... .......... .......... .......... 87% 43.4M 5s 230700K .......... .......... .......... .......... .......... 87% 35.3M 5s 230750K .......... .......... .......... .......... .......... 87% 38.8M 5s 230800K .......... .......... .......... .......... .......... 87% 22.7M 5s 230850K .......... .......... .......... .......... .......... 87% 116M 5s 230900K .......... .......... .......... .......... .......... 87% 147M 5s 230950K .......... .......... .......... .......... .......... 87% 97.5M 5s 231000K .......... .......... .......... .......... .......... 87% 140M 5s 231050K .......... .......... .......... .......... .......... 87% 84.2M 5s 231100K .......... .......... .......... .......... .......... 87% 89.9M 5s 231150K .......... .......... .......... .......... .......... 87% 37.9M 5s 231200K .......... .......... .......... .......... .......... 87% 20.0M 5s 231250K .......... .......... .......... .......... .......... 87% 191M 5s 231300K .......... .......... .......... .......... .......... 87% 115M 5s 231350K .......... .......... .......... .......... .......... 87% 104M 5s 231400K .......... .......... .......... .......... .......... 87% 435K 5s 231450K .......... .......... .......... .......... .......... 87% 106M 5s 231500K .......... .......... .......... .......... .......... 87% 200M 5s 231550K .......... .......... .......... .......... .......... 87% 117M 5s 231600K .......... .......... .......... .......... .......... 87% 114M 5s 231650K .......... .......... .......... .......... .......... 87% 119M 5s 231700K .......... .......... .......... .......... .......... 87% 176M 5s 231750K .......... .......... .......... .......... .......... 87% 130M 5s 231800K .......... .......... .......... .......... .......... 87% 142M 5s 231850K .......... .......... .......... .......... .......... 87% 93.2M 5s 231900K .......... .......... .......... .......... .......... 87% 28.0M 5s 231950K .......... .......... .......... .......... .......... 87% 129M 5s 232000K .......... .......... .......... .......... .......... 87% 144M 5s 232050K .......... .......... .......... .......... .......... 88% 79.5M 5s 232100K .......... .......... .......... .......... .......... 88% 50.5M 5s 232150K .......... .......... .......... .......... .......... 88% 56.1M 5s 232200K .......... .......... .......... .......... .......... 88% 37.6M 5s 232250K .......... .......... .......... .......... .......... 88% 68.7M 5s 232300K .......... .......... .......... .......... .......... 88% 32.4M 5s 232350K .......... .......... .......... .......... .......... 88% 46.9M 5s 232400K .......... .......... .......... .......... .......... 88% 43.5M 5s 232450K .......... .......... .......... .......... .......... 88% 176K 5s 232500K .......... .......... .......... .......... .......... 88% 131M 5s 232550K .......... .......... .......... .......... .......... 88% 97.1M 5s 232600K .......... .......... .......... .......... .......... 88% 99.0M 5s 232650K .......... .......... .......... .......... .......... 88% 119M 5s 232700K .......... .......... .......... .......... .......... 88% 79.7M 5s 232750K .......... .......... .......... .......... .......... 88% 109M 5s 232800K .......... .......... .......... .......... .......... 88% 144M 5s 232850K .......... .......... .......... .......... .......... 88% 114M 5s 232900K .......... .......... .......... .......... .......... 88% 51.6M 5s 232950K .......... .......... .......... .......... .......... 88% 34.0M 5s 233000K .......... .......... .......... .......... .......... 88% 45.3M 5s 233050K .......... .......... .......... .......... .......... 88% 27.7M 5s 233100K .......... .......... .......... .......... .......... 88% 38.0M 5s 233150K .......... .......... .......... .......... .......... 88% 41.3M 5s 233200K .......... .......... .......... .......... .......... 88% 33.5M 5s 233250K .......... .......... .......... .......... .......... 88% 18.4M 5s 233300K .......... .......... .......... .......... .......... 88% 58.3M 4s 233350K .......... .......... .......... .......... .......... 88% 27.9M 4s 233400K .......... .......... .......... .......... .......... 88% 30.3M 4s 233450K .......... .......... .......... .......... .......... 88% 21.0M 4s 233500K .......... .......... .......... .......... .......... 88% 38.1M 4s 233550K .......... .......... .......... .......... .......... 88% 26.3M 4s 233600K .......... .......... .......... .......... .......... 88% 23.2M 4s 233650K .......... .......... .......... .......... .......... 88% 38.4M 4s 233700K .......... .......... .......... .......... .......... 88% 27.2M 4s 233750K .......... .......... .......... .......... .......... 88% 45.3M 4s 233800K .......... .......... .......... .......... .......... 88% 28.9M 4s 233850K .......... .......... .......... .......... .......... 88% 34.2M 4s 233900K .......... .......... .......... .......... .......... 88% 33.2M 4s 233950K .......... .......... .......... .......... .......... 88% 34.3M 4s 234000K .......... .......... .......... .......... .......... 88% 36.6M 4s 234050K .......... .......... .......... .......... .......... 88% 44.3M 4s 234100K .......... .......... .......... .......... .......... 88% 23.8M 4s 234150K .......... .......... .......... .......... .......... 88% 47.0M 4s 234200K .......... .......... .......... .......... .......... 88% 38.9M 4s 234250K .......... .......... .......... .......... .......... 88% 35.3M 4s 234300K .......... .......... .......... .......... .......... 88% 44.8M 4s 234350K .......... .......... .......... .......... .......... 88% 30.1M 4s 234400K .......... .......... .......... .......... .......... 88% 47.5M 4s 234450K .......... .......... .......... .......... .......... 88% 622K 4s 234500K .......... .......... .......... .......... .......... 88% 147M 4s 234550K .......... .......... .......... .......... .......... 88% 23.7M 4s 234600K .......... .......... .......... .......... .......... 88% 55.4M 4s 234650K .......... .......... .......... .......... .......... 88% 85.1M 4s 234700K .......... .......... .......... .......... .......... 89% 63.7M 4s 234750K .......... .......... .......... .......... .......... 89% 79.0M 4s 234800K .......... .......... .......... .......... .......... 89% 54.2M 4s 234850K .......... .......... .......... .......... .......... 89% 71.7M 4s 234900K .......... .......... .......... .......... .......... 89% 62.5M 4s 234950K .......... .......... .......... .......... .......... 89% 46.1M 4s 235000K .......... .......... .......... .......... .......... 89% 62.7M 4s 235050K .......... .......... .......... .......... .......... 89% 59.0M 4s 235100K .......... .......... .......... .......... .......... 89% 61.3M 4s 235150K .......... .......... .......... .......... .......... 89% 56.8M 4s 235200K .......... .......... .......... .......... .......... 89% 59.2M 4s 235250K .......... .......... .......... .......... .......... 89% 52.5M 4s 235300K .......... .......... .......... .......... .......... 89% 56.2M 4s 235350K .......... .......... .......... .......... .......... 89% 66.0M 4s 235400K .......... .......... .......... .......... .......... 89% 46.2M 4s 235450K .......... .......... .......... .......... .......... 89% 51.9M 4s 235500K .......... .......... .......... .......... .......... 89% 217K 4s 235550K .......... .......... .......... .......... .......... 89% 111M 4s 235600K .......... .......... .......... .......... .......... 89% 103M 4s 235650K .......... .......... .......... .......... .......... 89% 152M 4s 235700K .......... .......... .......... .......... .......... 89% 142M 4s 235750K .......... .......... .......... .......... .......... 89% 110M 4s 235800K .......... .......... .......... .......... .......... 89% 112M 4s 235850K .......... .......... .......... .......... .......... 89% 171M 4s 235900K .......... .......... .......... .......... .......... 89% 109M 4s 235950K .......... .......... .......... .......... .......... 89% 36.6M 4s 236000K .......... .......... .......... .......... .......... 89% 59.6M 4s 236050K .......... .......... .......... .......... .......... 89% 87.7M 4s 236100K .......... .......... .......... .......... .......... 89% 46.2M 4s 236150K .......... .......... .......... .......... .......... 89% 63.5M 4s 236200K .......... .......... .......... .......... .......... 89% 31.8M 4s 236250K .......... .......... .......... .......... .......... 89% 161M 4s 236300K .......... .......... .......... .......... .......... 89% 26.8M 4s 236350K .......... .......... .......... .......... .......... 89% 53.5M 4s 236400K .......... .......... .......... .......... .......... 89% 87.7M 4s 236450K .......... .......... .......... .......... .......... 89% 48.5M 4s 236500K .......... .......... .......... .......... .......... 89% 39.8M 4s 236550K .......... .......... .......... .......... .......... 89% 44.4M 4s 236600K .......... .......... .......... .......... .......... 89% 46.6M 4s 236650K .......... .......... .......... .......... .......... 89% 85.8M 4s 236700K .......... .......... .......... .......... .......... 89% 37.1M 4s 236750K .......... .......... .......... .......... .......... 89% 38.8M 4s 236800K .......... .......... .......... .......... .......... 89% 63.8M 4s 236850K .......... .......... .......... .......... .......... 89% 39.9M 4s 236900K .......... .......... .......... .......... .......... 89% 58.1M 4s 236950K .......... .......... .......... .......... .......... 89% 53.8M 4s 237000K .......... .......... .......... .......... .......... 89% 68.0M 4s 237050K .......... .......... .......... .......... .......... 89% 62.5M 4s 237100K .......... .......... .......... .......... .......... 89% 43.4M 4s 237150K .......... .......... .......... .......... .......... 89% 105M 4s 237200K .......... .......... .......... .......... .......... 89% 43.8M 4s 237250K .......... .......... .......... .......... .......... 89% 59.3M 4s 237300K .......... .......... .......... .......... .......... 90% 83.9M 4s 237350K .......... .......... .......... .......... .......... 90% 43.3M 4s 237400K .......... .......... .......... .......... .......... 90% 73.2M 4s 237450K .......... .......... .......... .......... .......... 90% 29.3M 4s 237500K .......... .......... .......... .......... .......... 90% 63.2M 4s 237550K .......... .......... .......... .......... .......... 90% 273K 4s 237600K .......... .......... .......... .......... .......... 90% 156M 4s 237650K .......... .......... .......... .......... .......... 90% 222M 4s 237700K .......... .......... .......... .......... .......... 90% 99.9M 4s 237750K .......... .......... .......... .......... .......... 90% 132M 4s 237800K .......... .......... .......... .......... .......... 90% 113M 4s 237850K .......... .......... .......... .......... .......... 90% 111M 4s 237900K .......... .......... .......... .......... .......... 90% 161M 4s 237950K .......... .......... .......... .......... .......... 90% 113M 4s 238000K .......... .......... .......... .......... .......... 90% 121M 4s 238050K .......... .......... .......... .......... .......... 90% 71.9M 4s 238100K .......... .......... .......... .......... .......... 90% 91.8M 4s 238150K .......... .......... .......... .......... .......... 90% 112M 4s 238200K .......... .......... .......... .......... .......... 90% 113M 4s 238250K .......... .......... .......... .......... .......... 90% 148M 4s 238300K .......... .......... .......... .......... .......... 90% 94.1M 4s 238350K .......... .......... .......... .......... .......... 90% 92.0M 4s 238400K .......... .......... .......... .......... .......... 90% 46.6M 4s 238450K .......... .......... .......... .......... .......... 90% 88.1M 4s 238500K .......... .......... .......... .......... .......... 90% 51.7M 4s 238550K .......... .......... .......... .......... .......... 90% 1.04M 4s 238600K .......... .......... .......... .......... .......... 90% 114M 4s 238650K .......... .......... .......... .......... .......... 90% 124M 4s 238700K .......... .......... .......... .......... .......... 90% 103M 4s 238750K .......... .......... .......... .......... .......... 90% 174M 4s 238800K .......... .......... .......... .......... .......... 90% 111M 4s 238850K .......... .......... .......... .......... .......... 90% 103M 4s 238900K .......... .......... .......... .......... .......... 90% 130M 4s 238950K .......... .......... .......... .......... .......... 90% 114M 4s 239000K .......... .......... .......... .......... .......... 90% 148M 4s 239050K .......... .......... .......... .......... .......... 90% 173M 4s 239100K .......... .......... .......... .......... .......... 90% 138M 4s 239150K .......... .......... .......... .......... .......... 90% 147M 4s 239200K .......... .......... .......... .......... .......... 90% 18.3M 4s 239250K .......... .......... .......... .......... .......... 90% 88.8M 4s 239300K .......... .......... .......... .......... .......... 90% 44.5M 4s 239350K .......... .......... .......... .......... .......... 90% 102M 4s 239400K .......... .......... .......... .......... .......... 90% 78.8M 4s 239450K .......... .......... .......... .......... .......... 90% 41.7M 4s 239500K .......... .......... .......... .......... .......... 90% 38.6M 4s 239550K .......... .......... .......... .......... .......... 90% 25.2M 4s 239600K .......... .......... .......... .......... .......... 90% 24.9M 4s 239650K .......... .......... .......... .......... .......... 90% 41.4M 4s 239700K .......... .......... .......... .......... .......... 90% 40.7M 4s 239750K .......... .......... .......... .......... .......... 90% 44.5M 4s 239800K .......... .......... .......... .......... .......... 90% 35.6M 4s 239850K .......... .......... .......... .......... .......... 90% 28.6M 3s 239900K .......... .......... .......... .......... .......... 90% 42.1M 3s 239950K .......... .......... .......... .......... .......... 91% 43.7M 3s 240000K .......... .......... .......... .......... .......... 91% 28.6M 3s 240050K .......... .......... .......... .......... .......... 91% 44.4M 3s 240100K .......... .......... .......... .......... .......... 91% 46.2M 3s 240150K .......... .......... .......... .......... .......... 91% 38.2M 3s 240200K .......... .......... .......... .......... .......... 91% 30.2M 3s 240250K .......... .......... .......... .......... .......... 91% 31.6M 3s 240300K .......... .......... .......... .......... .......... 91% 39.1M 3s 240350K .......... .......... .......... .......... .......... 91% 33.1M 3s 240400K .......... .......... .......... .......... .......... 91% 50.6M 3s 240450K .......... .......... .......... .......... .......... 91% 31.9M 3s 240500K .......... .......... .......... .......... .......... 91% 44.4M 3s 240550K .......... .......... .......... .......... .......... 91% 43.1M 3s 240600K .......... .......... .......... .......... .......... 91% 160K 3s 240650K .......... .......... .......... .......... .......... 91% 132M 3s 240700K .......... .......... .......... .......... .......... 91% 138M 3s 240750K .......... .......... .......... .......... .......... 91% 150M 3s 240800K .......... .......... .......... .......... .......... 91% 153M 3s 240850K .......... .......... .......... .......... .......... 91% 116M 3s 240900K .......... .......... .......... .......... .......... 91% 137M 3s 240950K .......... .......... .......... .......... .......... 91% 96.9M 3s 241000K .......... .......... .......... .......... .......... 91% 117M 3s 241050K .......... .......... .......... .......... .......... 91% 142M 3s 241100K .......... .......... .......... .......... .......... 91% 34.8M 3s 241150K .......... .......... .......... .......... .......... 91% 46.8M 3s 241200K .......... .......... .......... .......... .......... 91% 86.0M 3s 241250K .......... .......... .......... .......... .......... 91% 42.8M 3s 241300K .......... .......... .......... .......... .......... 91% 29.3M 3s 241350K .......... .......... .......... .......... .......... 91% 44.1M 3s 241400K .......... .......... .......... .......... .......... 91% 47.5M 3s 241450K .......... .......... .......... .......... .......... 91% 40.3M 3s 241500K .......... .......... .......... .......... .......... 91% 45.7M 3s 241550K .......... .......... .......... .......... .......... 91% 50.3M 3s 241600K .......... .......... .......... .......... .......... 91% 51.8M 3s 241650K .......... .......... .......... .......... .......... 91% 215K 3s 241700K .......... .......... .......... .......... .......... 91% 124M 3s 241750K .......... .......... .......... .......... .......... 91% 121M 3s 241800K .......... .......... .......... .......... .......... 91% 106M 3s 241850K .......... .......... .......... .......... .......... 91% 93.7M 3s 241900K .......... .......... .......... .......... .......... 91% 195M 3s 241950K .......... .......... .......... .......... .......... 91% 109M 3s 242000K .......... .......... .......... .......... .......... 91% 105M 3s 242050K .......... .......... .......... .......... .......... 91% 104M 3s 242100K .......... .......... .......... .......... .......... 91% 103M 3s 242150K .......... .......... .......... .......... .......... 91% 133M 3s 242200K .......... .......... .......... .......... .......... 91% 20.8M 3s 242250K .......... .......... .......... .......... .......... 91% 94.6M 3s 242300K .......... .......... .......... .......... .......... 91% 32.7M 3s 242350K .......... .......... .......... .......... .......... 91% 34.1M 3s 242400K .......... .......... .......... .......... .......... 91% 41.6M 3s 242450K .......... .......... .......... .......... .......... 91% 39.3M 3s 242500K .......... .......... .......... .......... .......... 91% 31.3M 3s 242550K .......... .......... .......... .......... .......... 91% 36.1M 3s 242600K .......... .......... .......... .......... .......... 92% 42.5M 3s 242650K .......... .......... .......... .......... .......... 92% 181K 3s 242700K .......... .......... .......... .......... .......... 92% 159M 3s 242750K .......... .......... .......... .......... .......... 92% 156M 3s 242800K .......... .......... .......... .......... .......... 92% 102M 3s 242850K .......... .......... .......... .......... .......... 92% 106M 3s 242900K .......... .......... .......... .......... .......... 92% 135M 3s 242950K .......... .......... .......... .......... .......... 92% 127M 3s 243000K .......... .......... .......... .......... .......... 92% 119M 3s 243050K .......... .......... .......... .......... .......... 92% 119M 3s 243100K .......... .......... .......... .......... .......... 92% 130M 3s 243150K .......... .......... .......... .......... .......... 92% 126M 3s 243200K .......... .......... .......... .......... .......... 92% 74.0M 3s 243250K .......... .......... .......... .......... .......... 92% 48.2M 3s 243300K .......... .......... .......... .......... .......... 92% 57.0M 3s 243350K .......... .......... .......... .......... .......... 92% 37.8M 3s 243400K .......... .......... .......... .......... .......... 92% 122M 3s 243450K .......... .......... .......... .......... .......... 92% 45.6M 3s 243500K .......... .......... .......... .......... .......... 92% 43.1M 3s 243550K .......... .......... .......... .......... .......... 92% 64.2M 3s 243600K .......... .......... .......... .......... .......... 92% 51.5M 3s 243650K .......... .......... .......... .......... .......... 92% 69.9M 3s 243700K .......... .......... .......... .......... .......... 92% 36.7M 3s 243750K .......... .......... .......... .......... .......... 92% 74.5M 3s 243800K .......... .......... .......... .......... .......... 92% 56.8M 3s 243850K .......... .......... .......... .......... .......... 92% 46.1M 3s 243900K .......... .......... .......... .......... .......... 92% 53.0M 3s 243950K .......... .......... .......... .......... .......... 92% 62.2M 3s 244000K .......... .......... .......... .......... .......... 92% 62.0M 3s 244050K .......... .......... .......... .......... .......... 92% 52.2M 3s 244100K .......... .......... .......... .......... .......... 92% 61.2M 3s 244150K .......... .......... .......... .......... .......... 92% 46.4M 3s 244200K .......... .......... .......... .......... .......... 92% 81.0M 3s 244250K .......... .......... .......... .......... .......... 92% 48.0M 3s 244300K .......... .......... .......... .......... .......... 92% 68.6M 3s 244350K .......... .......... .......... .......... .......... 92% 52.2M 3s 244400K .......... .......... .......... .......... .......... 92% 84.8M 3s 244450K .......... .......... .......... .......... .......... 92% 64.8M 3s 244500K .......... .......... .......... .......... .......... 92% 55.9M 3s 244550K .......... .......... .......... .......... .......... 92% 66.6M 3s 244600K .......... .......... .......... .......... .......... 92% 50.0M 3s 244650K .......... .......... .......... .......... .......... 92% 72.8M 3s 244700K .......... .......... .......... .......... .......... 92% 287K 3s 244750K .......... .......... .......... .......... .......... 92% 121M 3s 244800K .......... .......... .......... .......... .......... 92% 133M 3s 244850K .......... .......... .......... .......... .......... 92% 90.3M 3s 244900K .......... .......... .......... .......... .......... 92% 141M 3s 244950K .......... .......... .......... .......... .......... 92% 92.1M 3s 245000K .......... .......... .......... .......... .......... 92% 191M 3s 245050K .......... .......... .......... .......... .......... 92% 131M 3s 245100K .......... .......... .......... .......... .......... 92% 124M 3s 245150K .......... .......... .......... .......... .......... 92% 105M 3s 245200K .......... .......... .......... .......... .......... 92% 26.5M 3s 245250K .......... .......... .......... .......... .......... 93% 38.1M 3s 245300K .......... .......... .......... .......... .......... 93% 133M 3s 245350K .......... .......... .......... .......... .......... 93% 69.2M 3s 245400K .......... .......... .......... .......... .......... 93% 101M 3s 245450K .......... .......... .......... .......... .......... 93% 96.7M 3s 245500K .......... .......... .......... .......... .......... 93% 71.4M 3s 245550K .......... .......... .......... .......... .......... 93% 53.4M 3s 245600K .......... .......... .......... .......... .......... 93% 43.8M 3s 245650K .......... .......... .......... .......... .......... 93% 57.4M 3s 245700K .......... .......... .......... .......... .......... 93% 41.4M 3s 245750K .......... .......... .......... .......... .......... 93% 173K 3s 245800K .......... .......... .......... .......... .......... 93% 141M 3s 245850K .......... .......... .......... .......... .......... 93% 88.0M 3s 245900K .......... .......... .......... .......... .......... 93% 121M 3s 245950K .......... .......... .......... .......... .......... 93% 106M 3s 246000K .......... .......... .......... .......... .......... 93% 148M 3s 246050K .......... .......... .......... .......... .......... 93% 130M 3s 246100K .......... .......... .......... .......... .......... 93% 180M 3s 246150K .......... .......... .......... .......... .......... 93% 87.0M 3s 246200K .......... .......... .......... .......... .......... 93% 124M 3s 246250K .......... .......... .......... .......... .......... 93% 76.1M 3s 246300K .......... .......... .......... .......... .......... 93% 70.9M 3s 246350K .......... .......... .......... .......... .......... 93% 46.7M 3s 246400K .......... .......... .......... .......... .......... 93% 46.2M 3s 246450K .......... .......... .......... .......... .......... 93% 77.3M 3s 246500K .......... .......... .......... .......... .......... 93% 44.9M 3s 246550K .......... .......... .......... .......... .......... 93% 74.7M 3s 246600K .......... .......... .......... .......... .......... 93% 48.9M 3s 246650K .......... .......... .......... .......... .......... 93% 74.3M 3s 246700K .......... .......... .......... .......... .......... 93% 51.5M 3s 246750K .......... .......... .......... .......... .......... 93% 84.9M 3s 246800K .......... .......... .......... .......... .......... 93% 62.0M 3s 246850K .......... .......... .......... .......... .......... 93% 70.4M 2s 246900K .......... .......... .......... .......... .......... 93% 70.1M 2s 246950K .......... .......... .......... .......... .......... 93% 52.4M 2s 247000K .......... .......... .......... .......... .......... 93% 58.2M 2s 247050K .......... .......... .......... .......... .......... 93% 56.2M 2s 247100K .......... .......... .......... .......... .......... 93% 96.9M 2s 247150K .......... .......... .......... .......... .......... 93% 46.9M 2s 247200K .......... .......... .......... .......... .......... 93% 34.5M 2s 247250K .......... .......... .......... .......... .......... 93% 166M 2s 247300K .......... .......... .......... .......... .......... 93% 47.2M 2s 247350K .......... .......... .......... .......... .......... 93% 34.5M 2s 247400K .......... .......... .......... .......... .......... 93% 120M 2s 247450K .......... .......... .......... .......... .......... 93% 47.4M 2s 247500K .......... .......... .......... .......... .......... 93% 39.1M 2s 247550K .......... .......... .......... .......... .......... 93% 142M 2s 247600K .......... .......... .......... .......... .......... 93% 34.1M 2s 247650K .......... .......... .......... .......... .......... 93% 72.7M 2s 247700K .......... .......... .......... .......... .......... 93% 50.3M 2s 247750K .......... .......... .......... .......... .......... 93% 66.0M 2s 247800K .......... .......... .......... .......... .......... 93% 706K 2s 247850K .......... .......... .......... .......... .......... 94% 106M 2s 247900K .......... .......... .......... .......... .......... 94% 143M 2s 247950K .......... .......... .......... .......... .......... 94% 113M 2s 248000K .......... .......... .......... .......... .......... 94% 225M 2s 248050K .......... .......... .......... .......... .......... 94% 124M 2s 248100K .......... .......... .......... .......... .......... 94% 94.1M 2s 248150K .......... .......... .......... .......... .......... 94% 132M 2s 248200K .......... .......... .......... .......... .......... 94% 103M 2s 248250K .......... .......... .......... .......... .......... 94% 110M 2s 248300K .......... .......... .......... .......... .......... 94% 173M 2s 248350K .......... .......... .......... .......... .......... 94% 65.1M 2s 248400K .......... .......... .......... .......... .......... 94% 70.2M 2s 248450K .......... .......... .......... .......... .......... 94% 92.0M 2s 248500K .......... .......... .......... .......... .......... 94% 56.6M 2s 248550K .......... .......... .......... .......... .......... 94% 79.8M 2s 248600K .......... .......... .......... .......... .......... 94% 52.2M 2s 248650K .......... .......... .......... .......... .......... 94% 67.1M 2s 248700K .......... .......... .......... .......... .......... 94% 93.2M 2s 248750K .......... .......... .......... .......... .......... 94% 60.0M 2s 248800K .......... .......... .......... .......... .......... 94% 416K 2s 248850K .......... .......... .......... .......... .......... 94% 99.4M 2s 248900K .......... .......... .......... .......... .......... 94% 109M 2s 248950K .......... .......... .......... .......... .......... 94% 120M 2s 249000K .......... .......... .......... .......... .......... 94% 121M 2s 249050K .......... .......... .......... .......... .......... 94% 114M 2s 249100K .......... .......... .......... .......... .......... 94% 125M 2s 249150K .......... .......... .......... .......... .......... 94% 111M 2s 249200K .......... .......... .......... .......... .......... 94% 105M 2s 249250K .......... .......... .......... .......... .......... 94% 135M 2s 249300K .......... .......... .......... .......... .......... 94% 38.8M 2s 249350K .......... .......... .......... .......... .......... 94% 133M 2s 249400K .......... .......... .......... .......... .......... 94% 114M 2s 249450K .......... .......... .......... .......... .......... 94% 86.9M 2s 249500K .......... .......... .......... .......... .......... 94% 14.1M 2s 249550K .......... .......... .......... .......... .......... 94% 69.3M 2s 249600K .......... .......... .......... .......... .......... 94% 54.5M 2s 249650K .......... .......... .......... .......... .......... 94% 55.4M 2s 249700K .......... .......... .......... .......... .......... 94% 47.1M 2s 249750K .......... .......... .......... .......... .......... 94% 45.8M 2s 249800K .......... .......... .......... .......... .......... 94% 77.1M 2s 249850K .......... .......... .......... .......... .......... 94% 267K 2s 249900K .......... .......... .......... .......... .......... 94% 165M 2s 249950K .......... .......... .......... .......... .......... 94% 102M 2s 250000K .......... .......... .......... .......... .......... 94% 112M 2s 250050K .......... .......... .......... .......... .......... 94% 103M 2s 250100K .......... .......... .......... .......... .......... 94% 144M 2s 250150K .......... .......... .......... .......... .......... 94% 142M 2s 250200K .......... .......... .......... .......... .......... 94% 124M 2s 250250K .......... .......... .......... .......... .......... 94% 119M 2s 250300K .......... .......... .......... .......... .......... 94% 127M 2s 250350K .......... .......... .......... .......... .......... 94% 68.6M 2s 250400K .......... .......... .......... .......... .......... 94% 95.0M 2s 250450K .......... .......... .......... .......... .......... 94% 53.0M 2s 250500K .......... .......... .......... .......... .......... 95% 58.2M 2s 250550K .......... .......... .......... .......... .......... 95% 68.8M 2s 250600K .......... .......... .......... .......... .......... 95% 61.8M 2s 250650K .......... .......... .......... .......... .......... 95% 52.5M 2s 250700K .......... .......... .......... .......... .......... 95% 54.4M 2s 250750K .......... .......... .......... .......... .......... 95% 44.8M 2s 250800K .......... .......... .......... .......... .......... 95% 80.0M 2s 250850K .......... .......... .......... .......... .......... 95% 450K 2s 250900K .......... .......... .......... .......... .......... 95% 164M 2s 250950K .......... .......... .......... .......... .......... 95% 162M 2s 251000K .......... .......... .......... .......... .......... 95% 139M 2s 251050K .......... .......... .......... .......... .......... 95% 225M 2s 251100K .......... .......... .......... .......... .......... 95% 148M 2s 251150K .......... .......... .......... .......... .......... 95% 125M 2s 251200K .......... .......... .......... .......... .......... 95% 115M 2s 251250K .......... .......... .......... .......... .......... 95% 126M 2s 251300K .......... .......... .......... .......... .......... 95% 173M 2s 251350K .......... .......... .......... .......... .......... 95% 57.3M 2s 251400K .......... .......... .......... .......... .......... 95% 42.6M 2s 251450K .......... .......... .......... .......... .......... 95% 42.5M 2s 251500K .......... .......... .......... .......... .......... 95% 118M 2s 251550K .......... .......... .......... .......... .......... 95% 49.0M 2s 251600K .......... .......... .......... .......... .......... 95% 60.2M 2s 251650K .......... .......... .......... .......... .......... 95% 52.3M 2s 251700K .......... .......... .......... .......... .......... 95% 48.2M 2s 251750K .......... .......... .......... .......... .......... 95% 58.7M 2s 251800K .......... .......... .......... .......... .......... 95% 36.5M 2s 251850K .......... .......... .......... .......... .......... 95% 87.8M 2s 251900K .......... .......... .......... .......... .......... 95% 31.6M 2s 251950K .......... .......... .......... .......... .......... 95% 15.1M 2s 252000K .......... .......... .......... .......... .......... 95% 94.6M 2s 252050K .......... .......... .......... .......... .......... 95% 107M 2s 252100K .......... .......... .......... .......... .......... 95% 58.1M 2s 252150K .......... .......... .......... .......... .......... 95% 42.5M 2s 252200K .......... .......... .......... .......... .......... 95% 40.0M 2s 252250K .......... .......... .......... .......... .......... 95% 38.0M 2s 252300K .......... .......... .......... .......... .......... 95% 49.2M 2s 252350K .......... .......... .......... .......... .......... 95% 48.3M 2s 252400K .......... .......... .......... .......... .......... 95% 52.6M 2s 252450K .......... .......... .......... .......... .......... 95% 40.3M 2s 252500K .......... .......... .......... .......... .......... 95% 34.4M 2s 252550K .......... .......... .......... .......... .......... 95% 38.1M 2s 252600K .......... .......... .......... .......... .......... 95% 25.4M 2s 252650K .......... .......... .......... .......... .......... 95% 38.6M 2s 252700K .......... .......... .......... .......... .......... 95% 41.0M 2s 252750K .......... .......... .......... .......... .......... 95% 35.5M 2s 252800K .......... .......... .......... .......... .......... 95% 46.1M 2s 252850K .......... .......... .......... .......... .......... 95% 35.4M 2s 252900K .......... .......... .......... .......... .......... 95% 260K 2s 252950K .......... .......... .......... .......... .......... 95% 114M 2s 253000K .......... .......... .......... .......... .......... 95% 187M 2s 253050K .......... .......... .......... .......... .......... 95% 155M 2s 253100K .......... .......... .......... .......... .......... 95% 101M 2s 253150K .......... .......... .......... .......... .......... 96% 143M 2s 253200K .......... .......... .......... .......... .......... 96% 125M 2s 253250K .......... .......... .......... .......... .......... 96% 96.9M 2s 253300K .......... .......... .......... .......... .......... 96% 115M 2s 253350K .......... .......... .......... .......... .......... 96% 36.6M 2s 253400K .......... .......... .......... .......... .......... 96% 40.9M 2s 253450K .......... .......... .......... .......... .......... 96% 27.6M 2s 253500K .......... .......... .......... .......... .......... 96% 41.5M 1s 253550K .......... .......... .......... .......... .......... 96% 41.5M 1s 253600K .......... .......... .......... .......... .......... 96% 41.2M 1s 253650K .......... .......... .......... .......... .......... 96% 37.8M 1s 253700K .......... .......... .......... .......... .......... 96% 36.4M 1s 253750K .......... .......... .......... .......... .......... 96% 35.5M 1s 253800K .......... .......... .......... .......... .......... 96% 35.1M 1s 253850K .......... .......... .......... .......... .......... 96% 41.6M 1s 253900K .......... .......... .......... .......... .......... 96% 51.3M 1s 253950K .......... .......... .......... .......... .......... 96% 370K 1s 254000K .......... .......... .......... .......... .......... 96% 91.8M 1s 254050K .......... .......... .......... .......... .......... 96% 125M 1s 254100K .......... .......... .......... .......... .......... 96% 118M 1s 254150K .......... .......... .......... .......... .......... 96% 161M 1s 254200K .......... .......... .......... .......... .......... 96% 119M 1s 254250K .......... .......... .......... .......... .......... 96% 154M 1s 254300K .......... .......... .......... .......... .......... 96% 142M 1s 254350K .......... .......... .......... .......... .......... 96% 126M 1s 254400K .......... .......... .......... .......... .......... 96% 29.1M 1s 254450K .......... .......... .......... .......... .......... 96% 82.5M 1s 254500K .......... .......... .......... .......... .......... 96% 87.8M 1s 254550K .......... .......... .......... .......... .......... 96% 53.1M 1s 254600K .......... .......... .......... .......... .......... 96% 43.1M 1s 254650K .......... .......... .......... .......... .......... 96% 40.1M 1s 254700K .......... .......... .......... .......... .......... 96% 45.2M 1s 254750K .......... .......... .......... .......... .......... 96% 40.0M 1s 254800K .......... .......... .......... .......... .......... 96% 35.9M 1s 254850K .......... .......... .......... .......... .......... 96% 38.8M 1s 254900K .......... .......... .......... .......... .......... 96% 37.8M 1s 254950K .......... .......... .......... .......... .......... 96% 1.19M 1s 255000K .......... .......... .......... .......... .......... 96% 32.0M 1s 255050K .......... .......... .......... .......... .......... 96% 45.1M 1s 255100K .......... .......... .......... .......... .......... 96% 36.5M 1s 255150K .......... .......... .......... .......... .......... 96% 110M 1s 255200K .......... .......... .......... .......... .......... 96% 36.3M 1s 255250K .......... .......... .......... .......... .......... 96% 45.9M 1s 255300K .......... .......... .......... .......... .......... 96% 76.1M 1s 255350K .......... .......... .......... .......... .......... 96% 44.3M 1s 255400K .......... .......... .......... .......... .......... 96% 48.6M 1s 255450K .......... .......... .......... .......... .......... 96% 37.0M 1s 255500K .......... .......... .......... .......... .......... 96% 82.9M 1s 255550K .......... .......... .......... .......... .......... 96% 38.4M 1s 255600K .......... .......... .......... .......... .......... 96% 44.2M 1s 255650K .......... .......... .......... .......... .......... 96% 45.9M 1s 255700K .......... .......... .......... .......... .......... 96% 45.9M 1s 255750K .......... .......... .......... .......... .......... 96% 90.8M 1s 255800K .......... .......... .......... .......... .......... 97% 63.2M 1s 255850K .......... .......... .......... .......... .......... 97% 38.1M 1s 255900K .......... .......... .......... .......... .......... 97% 65.5M 1s 255950K .......... .......... .......... .......... .......... 97% 46.2M 1s 256000K .......... .......... .......... .......... .......... 97% 223K 1s 256050K .......... .......... .......... .......... .......... 97% 127M 1s 256100K .......... .......... .......... .......... .......... 97% 177M 1s 256150K .......... .......... .......... .......... .......... 97% 238M 1s 256200K .......... .......... .......... .......... .......... 97% 134M 1s 256250K .......... .......... .......... .......... .......... 97% 142M 1s 256300K .......... .......... .......... .......... .......... 97% 148M 1s 256350K .......... .......... .......... .......... .......... 97% 149M 1s 256400K .......... .......... .......... .......... .......... 97% 156M 1s 256450K .......... .......... .......... .......... .......... 97% 102M 1s 256500K .......... .......... .......... .......... .......... 97% 118M 1s 256550K .......... .......... .......... .......... .......... 97% 30.7M 1s 256600K .......... .......... .......... .......... .......... 97% 83.5M 1s 256650K .......... .......... .......... .......... .......... 97% 120M 1s 256700K .......... .......... .......... .......... .......... 97% 132M 1s 256750K .......... .......... .......... .......... .......... 97% 104M 1s 256800K .......... .......... .......... .......... .......... 97% 122M 1s 256850K .......... .......... .......... .......... .......... 97% 126M 1s 256900K .......... .......... .......... .......... .......... 97% 93.0M 1s 256950K .......... .......... .......... .......... .......... 97% 48.0M 1s 257000K .......... .......... .......... .......... .......... 97% 156K 1s 257050K .......... .......... .......... .......... .......... 97% 131M 1s 257100K .......... .......... .......... .......... .......... 97% 122M 1s 257150K .......... .......... .......... .......... .......... 97% 107M 1s 257200K .......... .......... .......... .......... .......... 97% 94.8M 1s 257250K .......... .......... .......... .......... .......... 97% 121M 1s 257300K .......... .......... .......... .......... .......... 97% 117M 1s 257350K .......... .......... .......... .......... .......... 97% 112M 1s 257400K .......... .......... .......... .......... .......... 97% 130M 1s 257450K .......... .......... .......... .......... .......... 97% 135M 1s 257500K .......... .......... .......... .......... .......... 97% 142M 1s 257550K .......... .......... .......... .......... .......... 97% 113M 1s 257600K .......... .......... .......... .......... .......... 97% 200M 1s 257650K .......... .......... .......... .......... .......... 97% 119M 1s 257700K .......... .......... .......... .......... .......... 97% 85.5M 1s 257750K .......... .......... .......... .......... .......... 97% 62.9M 1s 257800K .......... .......... .......... .......... .......... 97% 94.8M 1s 257850K .......... .......... .......... .......... .......... 97% 63.8M 1s 257900K .......... .......... .......... .......... .......... 97% 47.7M 1s 257950K .......... .......... .......... .......... .......... 97% 16.5M 1s 258000K .......... .......... .......... .......... .......... 97% 132M 1s 258050K .......... .......... .......... .......... .......... 97% 114M 1s 258100K .......... .......... .......... .......... .......... 97% 143M 1s 258150K .......... .......... .......... .......... .......... 97% 165M 1s 258200K .......... .......... .......... .......... .......... 97% 37.6M 1s 258250K .......... .......... .......... .......... .......... 97% 46.1M 1s 258300K .......... .......... .......... .......... .......... 97% 64.8M 1s 258350K .......... .......... .......... .......... .......... 97% 40.8M 1s 258400K .......... .......... .......... .......... .......... 98% 57.4M 1s 258450K .......... .......... .......... .......... .......... 98% 30.9M 1s 258500K .......... .......... .......... .......... .......... 98% 37.2M 1s 258550K .......... .......... .......... .......... .......... 98% 99.9M 1s 258600K .......... .......... .......... .......... .......... 98% 35.8M 1s 258650K .......... .......... .......... .......... .......... 98% 38.7M 1s 258700K .......... .......... .......... .......... .......... 98% 103M 1s 258750K .......... .......... .......... .......... .......... 98% 45.9M 1s 258800K .......... .......... .......... .......... .......... 98% 38.0M 1s 258850K .......... .......... .......... .......... .......... 98% 111M 1s 258900K .......... .......... .......... .......... .......... 98% 33.8M 1s 258950K .......... .......... .......... .......... .......... 98% 46.6M 1s 259000K .......... .......... .......... .......... .......... 98% 85.2M 1s 259050K .......... .......... .......... .......... .......... 98% 166K 1s 259100K .......... .......... .......... .......... .......... 98% 103M 1s 259150K .......... .......... .......... .......... .......... 98% 121M 1s 259200K .......... .......... .......... .......... .......... 98% 124M 1s 259250K .......... .......... .......... .......... .......... 98% 145M 1s 259300K .......... .......... .......... .......... .......... 98% 127M 1s 259350K .......... .......... .......... .......... .......... 98% 107M 1s 259400K .......... .......... .......... .......... .......... 98% 121M 1s 259450K .......... .......... .......... .......... .......... 98% 63.7M 1s 259500K .......... .......... .......... .......... .......... 98% 49.3M 1s 259550K .......... .......... .......... .......... .......... 98% 15.1M 1s 259600K .......... .......... .......... .......... .......... 98% 111M 1s 259650K .......... .......... .......... .......... .......... 98% 114M 1s 259700K .......... .......... .......... .......... .......... 98% 33.1M 1s 259750K .......... .......... .......... .......... .......... 98% 121M 1s 259800K .......... .......... .......... .......... .......... 98% 33.6M 1s 259850K .......... .......... .......... .......... .......... 98% 44.6M 1s 259900K .......... .......... .......... .......... .......... 98% 34.4M 1s 259950K .......... .......... .......... .......... .......... 98% 40.8M 1s 260000K .......... .......... .......... .......... .......... 98% 37.3M 1s 260050K .......... .......... .......... .......... .......... 98% 80.4M 1s 260100K .......... .......... .......... .......... .......... 98% 159K 1s 260150K .......... .......... .......... .......... .......... 98% 159M 1s 260200K .......... .......... .......... .......... .......... 98% 122M 1s 260250K .......... .......... .......... .......... .......... 98% 159M 1s 260300K .......... .......... .......... .......... .......... 98% 164M 1s 260350K .......... .......... .......... .......... .......... 98% 103M 0s 260400K .......... .......... .......... .......... .......... 98% 123M 0s 260450K .......... .......... .......... .......... .......... 98% 98.2M 0s 260500K .......... .......... .......... .......... .......... 98% 144M 0s 260550K .......... .......... .......... .......... .......... 98% 26.4M 0s 260600K .......... .......... .......... .......... .......... 98% 84.3M 0s 260650K .......... .......... .......... .......... .......... 98% 31.3M 0s 260700K .......... .......... .......... .......... .......... 98% 42.6M 0s 260750K .......... .......... .......... .......... .......... 98% 44.2M 0s 260800K .......... .......... .......... .......... .......... 98% 40.5M 0s 260850K .......... .......... .......... .......... .......... 98% 41.9M 0s 260900K .......... .......... .......... .......... .......... 98% 47.9M 0s 260950K .......... .......... .......... .......... .......... 98% 50.3M 0s 261000K .......... .......... .......... .......... .......... 98% 48.5M 0s 261050K .......... .......... .......... .......... .......... 99% 50.5M 0s 261100K .......... .......... .......... .......... .......... 99% 35.3M 0s 261150K .......... .......... .......... .......... .......... 99% 36.2M 0s 261200K .......... .......... .......... .......... .......... 99% 43.0M 0s 261250K .......... .......... .......... .......... .......... 99% 43.6M 0s 261300K .......... .......... .......... .......... .......... 99% 41.0M 0s 261350K .......... .......... .......... .......... .......... 99% 23.3M 0s 261400K .......... .......... .......... .......... .......... 99% 39.0M 0s 261450K .......... .......... .......... .......... .......... 99% 38.0M 0s 261500K .......... .......... .......... .......... .......... 99% 38.4M 0s 261550K .......... .......... .......... .......... .......... 99% 51.1M 0s 261600K .......... .......... .......... .......... .......... 99% 46.3M 0s 261650K .......... .......... .......... .......... .......... 99% 61.9M 0s 261700K .......... .......... .......... .......... .......... 99% 35.0M 0s 261750K .......... .......... .......... .......... .......... 99% 51.6M 0s 261800K .......... .......... .......... .......... .......... 99% 54.4M 0s 261850K .......... .......... .......... .......... .......... 99% 40.8M 0s 261900K .......... .......... .......... .......... .......... 99% 43.2M 0s 261950K .......... .......... .......... .......... .......... 99% 46.8M 0s 262000K .......... .......... .......... .......... .......... 99% 64.0M 0s 262050K .......... .......... .......... .......... .......... 99% 68.9M 0s 262100K .......... .......... .......... .......... .......... 99% 1.15M 0s 262150K .......... .......... .......... .......... .......... 99% 108M 0s 262200K .......... .......... .......... .......... .......... 99% 138M 0s 262250K .......... .......... .......... .......... .......... 99% 101M 0s 262300K .......... .......... .......... .......... .......... 99% 153M 0s 262350K .......... .......... .......... .......... .......... 99% 84.3M 0s 262400K .......... .......... .......... .......... .......... 99% 163M 0s 262450K .......... .......... .......... .......... .......... 99% 101M 0s 262500K .......... .......... .......... .......... .......... 99% 146M 0s 262550K .......... .......... .......... .......... .......... 99% 121M 0s 262600K .......... .......... .......... .......... .......... 99% 131M 0s 262650K .......... .......... .......... .......... .......... 99% 111M 0s 262700K .......... .......... .......... .......... .......... 99% 127M 0s 262750K .......... .......... .......... .......... .......... 99% 158M 0s 262800K .......... .......... .......... .......... .......... 99% 68.5M 0s 262850K .......... .......... .......... .......... .......... 99% 74.1M 0s 262900K .......... .......... .......... .......... .......... 99% 92.0M 0s 262950K .......... .......... .......... .......... .......... 99% 41.2M 0s 263000K .......... .......... .......... .......... .......... 99% 90.1M 0s 263050K .......... .......... .......... .......... .......... 99% 88.6M 0s 263100K .......... .......... .......... .......... .......... 99% 75.8M 0s 263150K .......... .......... .......... .......... .......... 99% 155K 0s 263200K .......... .......... .......... .......... .......... 99% 144M 0s 263250K .......... .......... .......... .......... .......... 99% 117M 0s 263300K .......... .......... .......... .......... .......... 99% 157M 0s 263350K .......... .......... .......... .......... .......... 99% 114M 0s 263400K .......... .......... .......... .......... .......... 99% 121M 0s 263450K .......... .......... .......... .......... .......... 99% 91.6M 0s 263500K .......... .......... .......... .......... .......... 99% 127M 0s 263550K .......... .......... .......... .......... .......... 99% 148M 0s 263600K .......... .......... .......... .......... .......... 99% 133M 0s 263650K .......... .......... .......... .......... .......... 99% 77.8M 0s 263700K .......... ......... 100% 64.0M=39s 2024-04-03 11:08:22 (6.56 MB/s) - ‘ESPbeta.tgz’ saved [270048523/270048523] + tar -xf ESPbeta.tgz + rm -rf EngSketchPad/data/Slugs + python --version Python 3.11.6 + [[ '' == *\.\i\f\o\r\t ]] + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/config/ + ./makeEnv ESP runtime AutoConfigurator CASROOT = /Users/jenkins/util/ESP/OpenCASCADE-7.7.0 with contents: bin include lib share Info: Python exec /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python found! Info: Python header /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11/Python.h found! Info: Python library /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib/libpython3.11.dylib found! ESP_ARCH = DARWIN64 ESP_ROOT = /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad EFCOMP = gfortran CARCH = DARWIN64 CASROOT = /Users/jenkins/util/ESP/OpenCASCADE-7.7.0 CASARCH = . CASREV = 7.7 PYTHONINC= /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 PYTHONLIB= -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 + source /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/ESPenv.sh ++ export ESP_ARCH=DARWIN64 ++ ESP_ARCH=DARWIN64 ++ export ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad ++ ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad ++ export CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 ++ CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 ++ export CASARCH=. ++ CASARCH=. ++ export CASREV=7.7 ++ CASREV=7.7 ++ export PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONINC=/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 ++ PYTHONINC=/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 ++ export 'PYTHONLIB=-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11' ++ PYTHONLIB='-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11' ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/pyESP:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/pyESP:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export EFCOMP=gfortran ++ EFCOMP=gfortran ++ export AFLR_ARCH=MacOSX-x86-64 ++ AFLR_ARCH=MacOSX-x86-64 ++ export UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src/CAPS/udunits/udunits2.xml ++ UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src/CAPS/udunits/udunits2.xml ++ export CAPS_GLYPH= ++ CAPS_GLYPH= ++ export TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ export 'SLUGS_START=open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/SLUGS/Slugs.html' ++ SLUGS_START='open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/SLUGS/Slugs.html' ++ export 'ESP_START=open -a /Applications/Safari.app;sleep 1;open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/ESP/ESP.html' ++ ESP_START='open -a /Applications/Safari.app;sleep 1;open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/ESP/ESP.html' ++ export 'WV_START=open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/wvClient/wv.html' ++ WV_START='open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/wvClient/wv.html' + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src + make (cd EGADS/src; make) touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/DARWIN64 rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/DARWIN64 cp -p ../include/DARWIN64 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/DARWIN64 touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/STANALYZER.make rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/STANALYZER.make cp -p ../include/STANALYZER.make /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/STANALYZER.make touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads.h cp -p ../include/egads.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads_dot.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads_dot.h cp -p ../include/egads_dot.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads_dot.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads.inc rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads.inc cp -p ../include/egads.inc /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egads.inc touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsErrors.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsErrors.h cp -p ../include/egadsErrors.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsErrors.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsTypes.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsTypes.h cp -p ../include/egadsTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsTypes.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/emp.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/emp.h cp -p ../include/emp.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/emp.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/prm.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/prm.h cp -p ../include/prm.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/prm.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsserver.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsserver.h cp -p ../include/wsserver.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsserver.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsserver.inc rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsserver.inc cp -p ../include/wsserver.inc /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsserver.inc touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsss.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsss.h cp -p ../include/wsss.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/wsss.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsTris.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsTris.h cp -p egadsTris.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsTris.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsSplineFit.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsSplineFit.h cp -p egadsSplineFit.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsSplineFit.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsSplineVels.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsSplineVels.h cp -p egadsSplineVels.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsSplineVels.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsf90.inc rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsf90.inc cp -p ../include/egadsf90.inc /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egadsf90.inc mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealD.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/SurrealD.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealD_Lazy.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/SurrealD_Lazy.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealD_Trad.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/SurrealD_Trad.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealS.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/SurrealS.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealS_Lazy.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/SurrealS_Lazy.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealS_Trad.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/SurrealS_Trad.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal cp Surreal/always_inline.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/Surreal/always_inline.h clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsGeom.cpp -o ./egadsGeom.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsHLevel.cpp -o ./egadsHLevel.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsTopo.cpp -o ./egadsTopo.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsCopy.cpp -o ./egadsCopy.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsSpline.cpp -o ./egadsSpline.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsIO.cpp -o ./egadsIO.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsSplineFit.cpp -o ./egadsSplineFit.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsSkinning.cpp -o ./egadsSkinning.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include \ -I. egadsTessSens.cpp -o ./egadsTessSens.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 OCC/BRepLib_FuseEdges.cpp -o ./BRepLib_FuseEdges.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsBase.c -o ./egadsBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsMemory.c -o ./egadsMemory.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsAttrs.c -o ./egadsAttrs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsTess.c -o ./egadsTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsTessInp.c -o ./egadsTessInp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsTris.c -o ./egadsTris.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsQuads.c -o ./egadsQuads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsFit.c -o ./egadsFit.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsRobust.c -o ./egadsRobust.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsSBO.c -o ./egadsSBO.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap prmCfit.c -o ./prmCfit.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap prmGrid.c -o ./prmGrid.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap prmUV.c -o ./prmUV.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsExport.c -o ./egadsExport.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsEffect.c -o ./egadsEffect.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I../util \ -I../util/uvmap egadsSolids.c -o ./egadsSolids.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include ../util/emp.c \ -o ./emp.o clang++ -g -x c++ -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I. -I../include \ ../util/evaluate.c -o ./evaluate.o clang++ -g -x c++ -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I. -I../include \ ../util/rational.c -o ./rational.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.7.0 -I../include -I../util \ ../util/regQuads.c -o ./regQuads.o clang++ -g -o ./SurrealD1 -O -std=c++11 -I. ../util/SurrealD1_btest.cpp ./SurrealD1 SurrealD1_test_suite Complete! clang++ -g -o ./SurrealD4 -O -std=c++11 -I. ../util/SurrealD4_btest.cpp ./SurrealD4 SurrealD4_test_suite Complete! clang++ -g -o ./SurrealS1 -O -std=c++11 -I. ../util/SurrealS1_btest.cpp ./SurrealS1 SurrealS1_test_suite Complete! clang++ -g -o ./SurrealS4 -O -std=c++11 -I. ../util/SurrealS4_btest.cpp ./SurrealS4 SurrealS4_test_suite Complete! /Library/Developer/CommandLineTools/usr/bin/make -C ../util clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 uvmap/main/uvmap.c -Iuvmap \ -o ./uvmap.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/EG_uvmapFindUV.c -o ./EG_uvmapFindUV.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/EG_uvmapGen.c -o ./EG_uvmapGen.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/EG_uvmapStructFree.c -o ./EG_uvmapStructFree.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/EG_uvmapTest.c -o ./EG_uvmapTest.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/EG_uvmap_Read.c -o ./EG_uvmap_Read.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/EG_uvmap_Write.c -o ./EG_uvmap_Write.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_add.c -o ./uvmap_add.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_bnd_adj.c -o ./uvmap_bnd_adj.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_chk_area_uv.c -o ./uvmap_chk_area_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_chk_edge_ratio.c -o ./uvmap_chk_edge_ratio.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_cpu_message.c -o ./uvmap_cpu_message.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_find_uv.c -o ./uvmap_find_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_from_egads.c -o ./uvmap_from_egads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_gen.c -o ./uvmap_gen.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_gen_uv.c -o ./uvmap_gen_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_ibeibe.c -o ./uvmap_ibeibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_ibfibf.c -o ./uvmap_ibfibf.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_ibfin.c -o ./uvmap_ibfin.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_iccibe.c -o ./uvmap_iccibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_iccin.c -o ./uvmap_iccin.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_idibe.c -o ./uvmap_idibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_inibe.c -o ./uvmap_inibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_inl_uv_bnd.c -o ./uvmap_inl_uv_bnd.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_malloc.c -o ./uvmap_malloc.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_mben_disc.c -o ./uvmap_mben_disc.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_message.c -o ./uvmap_message.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_norm_uv.c -o ./uvmap_norm_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_read.c -o ./uvmap_read.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_solve.c -o ./uvmap_solve.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_struct_tasks.c -o ./uvmap_struct_tasks.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_test.c -o ./uvmap_test.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_to_egads.c -o ./uvmap_to_egads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_version.c -o ./uvmap_version.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. uvmap/uvmap_write.c -o ./uvmap_write.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 egadsUVmap.c -Iuvmap \ -o ./egadsUVmap.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libuvmap.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libuvmap.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libuvmap.a ./egadsUVmap.o EG_uvmapFindUV.o EG_uvmapGen.o EG_uvmapStructFree.o EG_uvmapTest.o EG_uvmap_Read.o EG_uvmap_Write.o uvmap_add.o uvmap_bnd_adj.o uvmap_chk_area_uv.o uvmap_chk_edge_ratio.o uvmap_cpu_message.o uvmap_find_uv.o uvmap_from_egads.o uvmap_gen.o uvmap_gen_uv.o uvmap_ibeibe.o uvmap_ibfibf.o uvmap_ibfin.o uvmap_iccibe.o uvmap_iccin.o uvmap_idibe.o uvmap_inibe.o uvmap_inl_uv_bnd.o uvmap_malloc.o uvmap_mben_disc.o uvmap_message.o uvmap_norm_uv.o uvmap_read.o uvmap_solve.o uvmap_struct_tasks.o uvmap_test.o uvmap_to_egads.o uvmap_version.o uvmap_write.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libuvmap.a clang -g -o ./uvmap.exe ./uvmap.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -luvmap -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegads.dylib rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegads.dylib (cd .; clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegads.dylib egadsGeom.o egadsHLevel.o egadsTopo.o egadsCopy.o egadsSpline.o egadsIO.o egadsSplineFit.o egadsSkinning.o egadsTessSens.o BRepLib_FuseEdges.o \ egadsBase.o egadsMemory.o egadsAttrs.o egadsTess.o egadsTessInp.o egadsTris.o egadsQuads.o egadsFit.o egadsRobust.o egadsSBO.o prmCfit.o prmGrid.o prmUV.o egadsExport.o egadsEffect.o egadsSolids.o emp.o evaluate.o rational.o regQuads.o \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -L/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -luvmap -lTKBool -lTKernel -lTKFeat -lTKBO -lTKGeomAlgo -lTKMath -lTKOffset -lTKPrim -lTKTopAlgo -lTKBRep -lTKG2d -lTKG3d -lTKGeomBase -lTKShHealing -lTKSTEP -lTKSTEP209 -lTKSTEPBase -lTKSTEPAttr -lTKXSBase -lTKIGES -lTKFillet -lc++ \ -install_name '@rpath/libegads.dylib' \ -compatibility_version 7.7 \ -current_version 1.0.25 ) touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadstatic.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadstatic.a cp /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libuvmap.a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadstatic.a (cd .; \ ar rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadstatic.a egadsBase.o egadsMemory.o egadsAttrs.o egadsTess.o egadsTessInp.o egadsTris.o egadsQuads.o egadsFit.o egadsRobust.o egadsSBO.o prmCfit.o prmGrid.o prmUV.o egadsExport.o egadsEffect.o egadsSolids.o egadsGeom.o egadsHLevel.o egadsTopo.o egadsCopy.o egadsSpline.o egadsIO.o egadsSplineFit.o egadsSkinning.o egadsTessSens.o BRepLib_FuseEdges.o emp.o \ evaluate.o rational.o regQuads.o; ) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsBase.c -o ./fgadsBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsMemory.c -o ./fgadsMemory.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsAttrs.c -o ./fgadsAttrs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsTess.c -o ./fgadsTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsHLevel.c -o ./fgadsHLevel.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsGeom.c -o ./fgadsGeom.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include fgadsTopo.c -o ./fgadsTopo.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libfgads.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libfgads.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libfgads.a fgadsBase.o fgadsMemory.o fgadsAttrs.o fgadsTess.o fgadsHLevel.o fgadsGeom.o fgadsTopo.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libfgads.a touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libemp.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libemp.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libemp.a emp.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libemp.a *** EGADS Build Complete! *** (cd EGADS/lite; make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteBase.c -o ./liteBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteMemory.c -o ./liteMemory.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteGeom.c -o ./liteGeom.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteTopo.c -o ./liteTopo.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteAttrs.c -o ./liteAttrs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteImport.c -o ./liteImport.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src -I../util/uvmap \ liteString.c -o ./liteString.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I. -I../src \ ../src/egadsTess.c -o ./liteTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I. -I../src \ ../src/egadsTris.c -o ./liteTris.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I. -I../src \ ../src/egadsQuads.c -o ./liteQuads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I. -I../src \ -I../util ../src/egadsTessInp.c -o ./liteTessInp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 ../src/egadsRobust.c \ -o ./egadsRobust.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DDARWIN64 -I../include ../util/emp.c \ -o ./emp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I. -I../src \ ../util/evaluate.c -o ./evaLite.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE ../util/rational.c \ -o ./ratLite.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I../util \ ../util/regQuads.c -o ./liteRegQuads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I. -I../src \ ../src/egadsEffect.c -o ./liteEffect.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DLITE -I../include -I. -I../src \ -I../util/uvmap liteUVmap.c -o ./liteUVmap.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslite.dylib rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslite.dylib (cd .; clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslite.dylib \ liteBase.o liteMemory.o liteGeom.o liteTopo.o liteAttrs.o liteImport.o liteString.o liteTess.o liteTris.o liteQuads.o liteTessInp.o \ egadsRobust.o emp.o evaLite.o ratLite.o liteRegQuads.o \ liteEffect.o liteUVmap.o \ -install_name '@rpath/libegadslite.dylib' \ -current_version 1.0.25 ) touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslitestatic.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslitestatic.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslitestatic.a liteBase.o liteMemory.o liteGeom.o liteTopo.o liteAttrs.o liteImport.o liteString.o \ liteTess.o liteTris.o liteQuads.o liteTessInp.o egadsRobust.o \ emp.o evaLite.o ratLite.o liteRegQuads.o liteEffect.o \ liteUVmap.o ; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libegadslitestatic.a (cd wvServer; make) clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 map.cpp -o ./map.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include base64-decode.c -o ./base64-decode.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include handshake.c -o ./handshake.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include client-handshake.c -o ./client-handshake.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include libwebsockets.c -o ./libwebsockets.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include extension-deflate-stream.c -o ./extension-deflate-stream.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include md5.c -o ./md5.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include extension-x-google-mux.c -o ./extension-x-google-mux.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include parsers.c -o ./parsers.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include extension.c -o ./extension.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include sha-1.c -o ./sha-1.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include server.c -o ./server.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include wv.c -o ./wv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include browserMessage.c -o ./browserMessage.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include fwv.c -o ./fwv.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.a map.o base64-decode.o handshake.o client-handshake.o libwebsockets.o extension-deflate-stream.o md5.o extension-x-google-mux.o parsers.o extension.o sha-1.o server.o wv.o browserMessage.o \ ./fwv.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.a clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -DSTANDALONE server.c \ -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -o ./servertest.o clang++ -g -o ./server ./servertest.o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.a \ -lpthread -lz -lm touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.dylib rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.dylib (cd .; clang++ -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libwsserver.dylib \ base64-decode.o handshake.o client-handshake.o libwebsockets.o extension-deflate-stream.o md5.o extension-x-google-mux.o parsers.o extension.o sha-1.o server.o wv.o browserMessage.o map.o -lz \ -install_name '@rpath/libwsserver.dylib' \ -compatibility_version 7.7 \ -current_version 1.0.25 ) (cd EGADS/examples; make -f egads2cart.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include egads2cart.c \ -o ./egads2cart.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/egads2cart ./egads2cart.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -legads \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm (cd EGADS/examples; make -f vCurvature.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include vCurvature.c -o ./vCurvature.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/vCurvature ./vCurvature.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lwsserver -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib (cd EGADS/examples; make -f vGeom.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include vGeom.c -o ./vGeom.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/vGeom ./vGeom.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lwsserver -legads \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib (cd EGADS/examples; make -f vTess.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include vTess.c -o ./vTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include ../util/retessFaces.c \ -o ./retessFaces.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/vTesstatic ./vTess.o ./retessFaces.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lwsserver -legadstatic -L/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lTKBool -lTKernel -lTKFeat -lTKBO -lTKGeomAlgo -lTKMath -lTKOffset -lTKPrim -lTKTopAlgo -lTKBRep -lTKG2d -lTKG3d -lTKGeomBase -lTKShHealing -lTKSTEP -lTKSTEP209 -lTKSTEPBase -lTKSTEPAttr -lTKXSBase -lTKIGES -lTKFillet \ -lpthread -lz -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/vTess ./vTess.o ./retessFaces.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lwsserver -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib (cd OpenCSM; make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. serveCSM.c -o ./serveCSM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. OpenCSM.c -o ./OpenCSM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udp.c -o ./udp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. tim.c -o ./tim.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libocsm.dylib rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libocsm.dylib clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libocsm.dylib ./OpenCSM.o ./udp.o ./tim.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -legads -lwsserver -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -install_name '@rpath/libocsm.dylib' -compatibility_version 1.0.25 -current_version 1.0.25 clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/serveCSM ./serveCSM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. serveESP.c -o ./serveESP.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/serveESP ./serveESP.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. sensCSM.c -o ./sensCSM.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/sensCSM ./sensCSM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpBezier.c -o ./udpBezier.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/bezier.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/bezier.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/bezier.so ./udpBezier.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpBiconvex.c -o ./udpBiconvex.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/biconvex.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/biconvex.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/biconvex.so ./udpBiconvex.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpBox.c -o ./udpBox.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/box.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/box.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/box.so ./udpBox.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpBspline.c -o ./udpBspline.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/bspline.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/bspline.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/bspline.so ./udpBspline.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfCatmull.c -o ./udfCatmull.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/catmull.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/catmull.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/catmull.so ./udfCatmull.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfCompare.c -o ./udfCompare.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/compare.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/compare.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/compare.so ./udfCompare.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfCreateBEM.c -o ./udfCreateBEM.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/createBEM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/createBEM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/createBEM.so ./udfCreateBEM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfCreatePoly.c -o ./udfCreatePoly.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/createPoly.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/createPoly.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/createPoly.so ./udfCreatePoly.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpCsm.c -o ./udpCsm.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/csm.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/csm.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/csm.so ./udpCsm.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfDeform.c -o ./udfDeform.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/deform.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/deform.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/deform.so ./udfDeform.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfDroop.c -o ./udfDroop.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/droop.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/droop.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/droop.so ./udfDroop.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfDumpPmtrs.c -o ./udfDumpPmtrs.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/dumpPmtrs.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/dumpPmtrs.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/dumpPmtrs.so ./udfDumpPmtrs.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfEditAttr.c -o ./udfEditAttr.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/editAttr.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/editAttr.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/editAttr.so ./udfEditAttr.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpEllipse.c -o ./udpEllipse.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ellipse.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ellipse.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ellipse.so ./udpEllipse.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpEqn2body.c -o ./udpEqn2body.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/eqn2body.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/eqn2body.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/eqn2body.so ./udpEqn2body.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpFitcurve.c -o ./udpFitcurve.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/fitcurve.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/fitcurve.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/fitcurve.so ./udpFitcurve.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfFlend.c -o ./udfFlend.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/flend.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/flend.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/flend.so ./udfFlend.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpFreeform.c -o ./udpFreeform.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/freeform.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/freeform.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/freeform.so ./udpFreeform.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfGanged.c -o ./udfGanged.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ganged.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ganged.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ganged.so ./udfGanged.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfGuide.c -o ./udfGuide.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/guide.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/guide.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/guide.so ./udfGuide.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpHex.c -o ./udpHex.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/hex.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/hex.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/hex.so ./udpHex.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpImport.c -o ./udpImport.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/import.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/import.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/import.so ./udpImport.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpKulfan.c -o ./udpKulfan.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/kulfan.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/kulfan.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/kulfan.so ./udpKulfan.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfLinalg.c -o ./udfLinalg.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/linalg.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/linalg.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/linalg.so ./udfLinalg.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfMatchBodys.c -o ./udfMatchBodys.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/matchBodys.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/matchBodys.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/matchBodys.so ./udfMatchBodys.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfMechanism.c -o ./udfMechanism.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mechanism.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mechanism.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mechanism.so ./udfMechanism.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpNaca.c -o ./udpNaca.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca.so ./udpNaca.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfNaca6mc.c -o ./udfNaca6mc.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca6mc.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca6mc.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca6mc.so ./udfNaca6mc.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfNacelle.c -o ./udfNacelle.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nacelle.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nacelle.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nacelle.so ./udfNacelle.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpNurbbody.c -o ./udpNurbbody.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nurbbody.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nurbbody.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nurbbody.so ./udpNurbbody.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfNuscale.c -o ./udfNuscale.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nuscale.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nuscale.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nuscale.so ./udfNuscale.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfOffset.c -o ./udfOffset.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/offset.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/offset.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/offset.so ./udfOffset.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpParabaloid.c -o ./udpParabaloid.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/parabaloid.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/parabaloid.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/parabaloid.so ./udpParabaloid.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpParsec.cpp -o ./udpParsec.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/parsec.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/parsec.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/parsec.so ./udpParsec.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpPod.c -o ./udpPod.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pod.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pod.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pod.so ./udpPod.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpPoly.c -o ./udpPoly.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/poly.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/poly.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/poly.so ./udpPoly.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfPrintBbox.c -o ./udfPrintBbox.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printBbox.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printBbox.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printBbox.so ./udfPrintBbox.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfPrintBrep.c -o ./udfPrintBrep.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printBrep.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printBrep.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printBrep.so ./udfPrintBrep.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfPrintEgo.c -o ./udfPrintEgo.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printEgo.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printEgo.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/printEgo.so ./udfPrintEgo.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpProp.c -o ./udpProp.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/prop.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/prop.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/prop.so ./udpProp.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpRadwaf.c -o ./udpRadwaf.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/radwaf.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/radwaf.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/radwaf.so ./udpRadwaf.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpSample.c -o ./udpSample.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sample.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sample.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sample.so ./udpSample.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpSew.c -o ./udpSew.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sew.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sew.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sew.so ./udpSew.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfShadow.c -o ./udfShadow.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/shadow.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/shadow.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/shadow.so ./udfShadow.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfSlices.c -o ./udfSlices.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/slices.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/slices.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/slices.so ./udfSlices.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpStag.c -o ./udpStag.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/stag.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/stag.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/stag.so ./udpStag.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfStiffener.c -o ./udfStiffener.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/stiffener.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/stiffener.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/stiffener.so ./udfStiffener.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpSupell.c -o ./udpSupell.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/supell.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/supell.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/supell.so ./udpSupell.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udfTester1.c -o ./udfTester1.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tester1.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tester1.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tester1.so ./udfTester1.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpTester2.c -o ./udpTester2.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tester2.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tester2.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tester2.so ./udpTester2.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpVsp3.c -o ./udpVsp3.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/vsp3.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/vsp3.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/vsp3.so ./udpVsp3.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpWaffle.c -o ./udpWaffle.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/waffle.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/waffle.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/waffle.so ./udpWaffle.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpWarp.c -o ./udpWarp.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/warp.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/warp.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/warp.so ./udpWarp.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. eggPDT2.c -o ./eggPDT2.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/PDT2.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/PDT2.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/PDT2.so ./eggPDT2.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. udpNaca456.c -o ./udpNaca456.o gfortran -g -c -O -frecursive -fcray-pointer naca456.f90 -o ./naca456.o -J . touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca456.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca456.so gfortran -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/naca456.so ./udpNaca456.o ./naca456.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads -lm -Wl,-w clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timEreped.c -o ./timEreped.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ereped.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ereped.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ereped.so ./timEreped.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timMitten.c -o ./timMitten.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mitten.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mitten.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mitten.so ./timMitten.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timPlotter.c -o ./timPlotter.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/plotter.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/plotter.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/plotter.so ./timPlotter.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timPlugs.c -o ./timPlugs.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/plugs.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/plugs.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/plugs.so ./timPlugs.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timSlugs.c -o ./timSlugs.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/slugs.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/slugs.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/slugs.so ./timSlugs.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timVspSetup.c -o ./timVspSetup.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/vspSetup.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/vspSetup.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/vspSetup.so ./timVspSetup.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/OpenCSM.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/OpenCSM.h cp -p OpenCSM.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/OpenCSM.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/common.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/common.h cp -p common.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/common.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udp.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udp.h cp -p udp.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udp.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/esp.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/esp.h cp -p esp.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/esp.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/tim.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/tim.h cp -p tim.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/tim.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egg.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egg.h cp -p egg.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/egg.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udpUtilities.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udpUtilities.h cp -p udpUtilities.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udpUtilities.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udpUtilities.c rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udpUtilities.c cp -p udpUtilities.c /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/udpUtilities.c (cd Slugs; make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. Slugs.c -o ./Slugs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. Fitter.c \ -o ./Fitter.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. RedBlackTree.c \ -o ./RedBlackTree.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. Tessellate.c \ -o ./Tessellate.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/Slugs ./Slugs.o ./Fitter.o ./RedBlackTree.o \ ./Tessellate.o -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lwsserver -legads \ -lpthread -lz -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. TestFit.c -o ./TestFit.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/TestFit ./TestFit.o ./Fitter.o -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -legads \ -lpthread -lz -lm (cd CAPS; make) /bin/cp Cart3D/OSX64_CLANG/libc3dio.a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libc3dio.a /bin/cp Cart3D/OSX64_CLANG/libCart3D.a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libCart3D.a /bin/cp Executables/DARWIN64/avl /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/avl /bin/cp Executables/DARWIN64/pplot /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/pplot /bin/cp Executables/DARWIN64/pxplot /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/pxplot /bin/cp Executables/DARWIN64/xfoil /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/xfoil /bin/cp Executables/DARWIN64/mastros.exe /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/mastros.exe /bin/cp Executables/DARWIN64/ASTRO.D01 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/ASTRO.D01 /bin/cp Executables/DARWIN64/ASTRO.IDX /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/ASTRO.IDX /bin/cp Executables/DARWIN64/mses /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/mses /bin/cp Executables/DARWIN64/mset /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/mset /bin/cp udunits/include/*.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include /bin/cp udunits/DARWIN64/libudunits2.0.dylib /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib /bin/ln -sf libudunits2.0.dylib /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libudunits2.dylib /bin/cp Libraries/DARWIN64/aflr2AIM.so /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/aflr2AIM.so /bin/cp Libraries/DARWIN64/aflr3AIM.so /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/aflr3AIM.so /bin/cp Libraries/DARWIN64/aflr4AIM.so /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/aflr4AIM.so (cd CAPS/src; make) touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/caps.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/caps.h cp -p ../include/caps.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/caps.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/capsErrors.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/capsErrors.h cp -p ../include/capsErrors.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/capsErrors.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/capsTypes.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/capsTypes.h cp -p ../include/capsTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/capsTypes.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aimUtil.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aimUtil.h cp -p ../include/aimUtil.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aimUtil.h touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aimMesh.h rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aimMesh.h cp -p ../include/aimMesh.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aimMesh.h clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../include \ -I/usr/include/udunits2 aimUtil.c -o ./aimUtil.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include \ -I/usr/include/udunits2 aimTransferUtil.c \ -o ./aimTransferUtil.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../include \ aimMesh.c -o ./aimMesh.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libaimUtil.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libaimUtil.a ar -rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libaimUtil.a ./aimUtil.o ./aimMesh.o \ ./aimTransferUtil.o ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libaimUtil.a clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsBase.c -o ./capsBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAnalysis.c -o ./capsAnalysis.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsApprox.c -o ./capsApprox.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAttr.c -o ./capsAttr.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAIM.c -o ./capsAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsBound.c -o ./capsBound.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsProblem.c -o ./capsProblem.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsValue.c -o ./capsValue.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsUnits.c -o ./capsUnits.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 conjGrad.c -o ./conjGrad.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsFunIDs.c -o ./capsFunIDs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsChkInpt.c -o ./capsChkInpt.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 printObject.c -o ./printObject.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcaps.dylib rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcaps.dylib (cd .; clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcaps.dylib capsBase.o capsAnalysis.o capsApprox.o capsAttr.o capsAIM.o capsBound.o capsProblem.o capsValue.o capsUnits.o conjGrad.o capsFunIDs.o capsChkInpt.o printObject.o \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -ludunits2 -locsm -legads -ldl \ -install_name '@rpath/libcaps.dylib' \ -compatibility_version 1.0.25 \ -current_version 1.0.25 ) touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcapsstatic.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcapsstatic.a (cd .; ar -rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcapsstatic.a capsBase.o capsAnalysis.o capsApprox.o capsAttr.o capsAIM.o capsBound.o capsProblem.o capsValue.o capsUnits.o conjGrad.o capsFunIDs.o capsChkInpt.o printObject.o ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libcapsstatic.a clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include testingAIM.c \ -o ./testingAIM.o rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingAIM.so rm: /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingAIM.so: No such file or directory make[1]: [/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingAIM.so] Error 1 (ignored) clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingAIM.so ./testingAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include testingWriter.c \ -o ./testingWriter.o rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingWriter.so rm: /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingWriter.so: No such file or directory make[1]: [/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingWriter.so] Error 1 (ignored) clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/testingWriter.so ./testingWriter.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include phaseUtil.c \ -o ./phaseUtil.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/phaseUtil ./phaseUtil.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -ludunits2 -locsm -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm (cd ../CAPSexamples; make) (make -C cCAPS -f aeroelastic_SU2.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include aeroelasticSimple_Iterative_SU2_and_MystranTest.c -o ./aeroelasticSimple_Iterative_SU2_and_MystranTest.o clang -g -o ./aeroelasticSimple_Iterative_SU2_and_MystranTest ./aeroelasticSimple_Iterative_SU2_and_MystranTest.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f avlTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include avlTest.c -o ./avlTest.o clang -g -o ./avlTest ./avlTest.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f awaveTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include awaveTest.c -o ./awaveTest.o clang -g -o ./awaveTest ./awaveTest.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f frictionTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include frictionTest.c -o ./frictionTest.o clang -g -o ./frictionTest ./frictionTest.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f fun3d.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include fun3dAFLR2Test.c -o ./fun3dAFLR2Test.o clang -g -o ./fun3dAFLR2Test ./fun3dAFLR2Test.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include fun3dTetgenTest.c -o ./fun3dTetgenTest.o clang -g -o ./fun3dTetgenTest ./fun3dTetgenTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include aeroelasticTest.c -o ./aeroelasticTest.o clang -g -o ./aeroelasticTest ./aeroelasticTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f hsm.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include hsmTest.c -o ./hsmTest.o clang -g -o ./hsmTest ./hsmTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include hsmSimplePlateTest.c -o ./hsmSimplePlateTest.o clang -g -o ./hsmSimplePlateTest ./hsmSimplePlateTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include hsmCantileverPlateTest.c -o ./hsmCantileverPlateTest.o clang -g -o ./hsmCantileverPlateTest ./hsmCantileverPlateTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include hsmJoinedPlateTest.c -o ./hsmJoinedPlateTest.o clang -g -o ./hsmJoinedPlateTest ./hsmJoinedPlateTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f interferenceTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include interferenceTest.c \ -o ./interferenceTest.o clang -g -o ./interferenceTest ./interferenceTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f msesTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include msesTest.c -o ./msesTest.o clang -g -o ./msesTest ./msesTest.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f mystran.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include mystranTest.c -o ./mystranTest.o clang -g -o ./mystranTest ./mystranTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (make -C cCAPS -f pointwiseTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include pointwiseTest.c -o ./pointwiseTest.o clang -g -o ./pointwiseTest ./pointwiseTest.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl (cd CAPS/aim; make) /Library/Developer/CommandLineTools/usr/bin/make -C utils clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython attrUtils.c -o ./attrUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython meshUtils.c -o ./meshUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cfdUtils.c -o ./cfdUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython miscUtils.c -o ./miscUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython feaUtils.c -o ./feaUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython vlmUtils.c -o ./vlmUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython nastranUtils.c -o ./nastranUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython tecplotUtils.c -o ./tecplotUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython arrayUtils.c -o ./arrayUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython deprecateUtils.c -o ./deprecateUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cardUtils.c -o ./cardUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython nastranCards.c -o ./nastranCards.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython jsonUtils.c -o ./jsonUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cython/nastranOP2Reader.c -o ./nastranOP2Reader.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. vlmSpanSpace.cpp -o ./vlmSpanSpace.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libutils.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libutils.a (cd .; ar -rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libutils.a attrUtils.o meshUtils.o cfdUtils.o miscUtils.o feaUtils.o vlmUtils.o nastranUtils.o tecplotUtils.o arrayUtils.o deprecateUtils.o cardUtils.o nastranCards.o jsonUtils.o nastranOP2Reader.o vlmSpanSpace.o ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libutils.a /Library/Developer/CommandLineTools/usr/bin/ranlib: file: /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libutils.a(attrUtils.o) has no symbols mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils cp -p -f arrayUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/arrayUtils.h cp -p -f attrTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/attrTypes.h cp -p -f attrUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/attrUtils.h cp -p -f cardTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/cardTypes.h cp -p -f cardUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/cardUtils.h cp -p -f cfdTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/cfdTypes.h cp -p -f cfdUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/cfdUtils.h cp -p -f deprecateUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/deprecateUtils.h cp -p -f feaTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/feaTypes.h cp -p -f feaUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/feaUtils.h cp -p -f jsonUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/jsonUtils.h cp -p -f meshTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/meshTypes.h cp -p -f meshUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/meshUtils.h cp -p -f miscTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/miscTypes.h cp -p -f miscUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/miscUtils.h cp -p -f nastranCards.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/nastranCards.h cp -p -f nastranUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/nastranUtils.h cp -p -f tecplotUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/tecplotUtils.h cp -p -f vlmSpanSpace.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/vlmSpanSpace.h cp -p -f vlmTypes.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/vlmTypes.h cp -p -f vlmUtils.h /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/aim/utils/vlmUtils.h /Library/Developer/CommandLineTools/usr/bin/make -C abaqus clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils \ abaqusAIM.c -o ./abaqusAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils \ abaqusUtils.c -o ./abaqusUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils \ -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 cython/abaqusFILReader.c -o ./abaqusFILReader.o echo "Building Abaqus with Python" Building Abaqus with Python clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/abaqusAIM.so ./abaqusAIM.o ./abaqusUtils.o ./abaqusFILReader.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lm -ldl -Wl,-rpath /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib /Library/Developer/CommandLineTools/usr/bin/make -C aflr2 Not compiling aflr2AIM: AFLR must be set -- Please fix the environment.... /Library/Developer/CommandLineTools/usr/bin/make -C aflr3 Not compiling aflr3AIM: AFLR must be set -- Please fix the environment.... /Library/Developer/CommandLineTools/usr/bin/make -C aflr4 Not compiling aflr4AIM: AFLR must be set -- Please fix the environment.... /Library/Developer/CommandLineTools/usr/bin/make -C astros clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils astrosAIM.c \ -o ./astrosAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils astrosUtils.c \ -o ./astrosUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils astrosCards.c \ -o ./astrosCards.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/astrosAIM.so ./astrosAIM.o ./astrosUtils.o ./astrosCards.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C avl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils avlAIM.c -o ./avlAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_BODY.c -o avlRead_BODY.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_CNC.c -o avlRead_CNC.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_CPOML.c -o avlRead_CPOML.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATB.c -o avlRead_DERMATB.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATM.c -o avlRead_DERMATM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATS.c -o avlRead_DERMATS.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_ELE.c -o avlRead_ELE.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_HINGE.c -o avlRead_HINGE.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_STRP.c -o avlRead_STRP.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_SURF.c -o avlRead_SURF.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_TOT.c -o avlRead_TOT.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_VM.c -o avlRead_VM.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/avlAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/avlAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/avlAIM.so ./avlAIM.o ./avlRead_BODY.o ./avlRead_CNC.o ./avlRead_CPOML.o ./avlRead_DERMATB.o ./avlRead_DERMATM.o ./avlRead_DERMATS.o ./avlRead_ELE.o ./avlRead_HINGE.o ./avlRead_STRP.o ./avlRead_SURF.o ./avlRead_TOT.o ./avlRead_VM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -lutils -locsm -legads -ludunits2 -ldl \ -lc++ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C awave clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils awaveAIM.c \ -o ./awaveAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/awaveAIM.so ./awaveAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C cart3d clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DCAPS_MESHUTILS -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/libCart3D -I./xddm \ cart3dAIM.c -o ./cart3dAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/c3dio \ -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/libCart3D -I./xddm \ writeTrix.c -o ./writeTrix.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/c3dio \ -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/libCart3D \ surfTrix.c -o ./surfTrix.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -pedantic -I./xddm -I. \ `xml2-config --cflags` ./xddm/xddm.c \ -o ./xddm.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include bodyTess.c -o ./bodyTess.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/cart3dAIM.so ./cart3dAIM.o \ ./writeTrix.o ./surfTrix.o ./xddm.o ./bodyTess.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils \ -laimUtil -locsm -legads -ludunits2 -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/ \ -lc3dio -lCart3D -ldl -lxml2 -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include cart3dTest.c \ -o ./cart3dTest.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/cart3dTest ./cart3dTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I./xddm \ -I. `xml2-config --cflags` ESPxddm.c -o ./ESPxddm.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/ESPxddm ./ESPxddm.o ./writeTrix.o \ ./surfTrix.o ./xddm.o ./bodyTess.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -locsm -legads \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include/ -lc3dio -lCart3D \ -lpthread -ldl -Wl,-rpath,/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -Wl,-rpath,@loader_path/ -Wl,-rpath,/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lxml2 -lm /Library/Developer/CommandLineTools/usr/bin/make -C cbaero clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I. -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/fastWriter cbaeroAIM.c -o cbaeroAIM.o clang -g -bundle cbaeroAIM.o -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/cbaeroAIM.so -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -lm -ldl /Library/Developer/CommandLineTools/usr/bin/make -C cgt clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include egads2cgt.c \ -o ./egads2cgt.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include aflr4egads.c \ -o ./aflr4egads.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/egads2cgt ./egads2cgt.o ./aflr4egads.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -legads -lcaps -locsm -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -ldl /Library/Developer/CommandLineTools/usr/bin/make -C custom make[2]: Nothing to be done for `default'. /Library/Developer/CommandLineTools/usr/bin/make -C delaundo clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils delaundoAIM.c \ -o ./delaundoAIM.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/delaundoAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/delaundoAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/delaundoAIM.so ./delaundoAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C egadsTess clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils egadsTessAIM.c \ -o ./egadsTessAIM.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/egadsTessAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/egadsTessAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/egadsTessAIM.so ./egadsTessAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C friction clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -Wno-format -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils frictionAIM.c \ -o ./frictionAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/frictionAIM.so ./frictionAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm gfortran -g -c -O -frecursive -fcray-pointer friction_eja_mod.f -o ./friction.o gfortran -g -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/friction ./friction.o -Wl,-w /Library/Developer/CommandLineTools/usr/bin/make -C fun3d clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DHAVE_PYTHON -DCYTHON_PEP489_MULTI_PHASE_INIT=0 \ -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/ugridWriter \ -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython fun3dAIM.c -o ./fun3dAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/ugridWriter \ fun3dUtils.c -o ./fun3dUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -DCYTHON_PEP489_MULTI_PHASE_INIT=0 \ -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils \ -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 cython/fun3dNamelist.c -o ./fun3dNamelist.o Building FUN3D with Python clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/fun3dAIM.so ./fun3dAIM.o ./fun3dUtils.o \ ./fun3dNamelist.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -ldl -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lm -Wl,-rpath /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib /Library/Developer/CommandLineTools/usr/bin/make -C hsm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils hsmAIM.c \ -o src/hsmAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils hsmUtils.c \ -o src/hsmUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils hsmAdj.c \ -o src/hsmAdj.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -Wno-comment rcm/rcm.cpp -DREVISION=7.7 \ -o src/rcm.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 rcm/genrcmi.cpp -DREVISION=7.7 \ -o src/genrcmi.o /Library/Developer/CommandLineTools/usr/bin/make -C src atanc.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero atanc.f -o ./atanc.o /Library/Developer/CommandLineTools/usr/bin/make -C src bmdump.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero bmdump.f -o ./bmdump.o /Library/Developer/CommandLineTools/usr/bin/make -C src cross.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero cross.f -o ./cross.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmabd.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmabd.f -o ./hsmabd.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmbb2.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmbb2.f -o ./hsmbb2.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmbc.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmbc.f -o ./hsmbc.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmdep.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmdep.f -o ./hsmdep.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmeqn.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmeqn.f -o ./hsmeqn.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmgeo.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmgeo.f -o ./hsmgeo.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmglr.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmglr.f -o ./hsmglr.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmout.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmout.f -o ./hsmout.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmprj.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmprj.f -o ./hsmprj.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmre1.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmre1.f -o ./hsmre1.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmren.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmren.f -o ./hsmren.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmrfm.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmrfm.f -o ./hsmrfm.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmrun.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero -fno-recursive hsmrun.f -o ./hsmrun.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmsol.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmsol.f -o ./hsmsol.o /Library/Developer/CommandLineTools/usr/bin/make -C src ludcmp.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero ludcmp.f -o ./ludcmp.o /Library/Developer/CommandLineTools/usr/bin/make -C src sbsolve.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero sbsolve.f -o ./sbsolve.o /Library/Developer/CommandLineTools/usr/bin/make -C src /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libhsm.a touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libhsm.a rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libhsm.a (cd .; ar -rs /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libhsm.a hsmsol.o hsmdep.o hsmout.o hsmgeo.o hsmabd.o hsmeqn.o hsmbc.o hsmprj.o hsmren.o hsmre1.o hsmrfm.o hsmbb2.o hsmglr.o ludcmp.o sbsolve.o atanc.o bmdump.o cross.o ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libhsm.a touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/hsmAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/hsmAIM.so gfortran -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/hsmAIM.so \ src/hsmAIM.o src/hsmUtils.o src/hsmAdj.o \ src/rcm.o src/genrcmi.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -lhsm -ldl -Wl,-rpath,/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -Wl,-rpath,@loader_path/ -Wl,-rpath,/Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lc++ -Wl,-w /Library/Developer/CommandLineTools/usr/bin/make -C interference clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include interferenceAIM.c \ -o ./interferenceAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. cloudFns.c \ -o ./cloudFns.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/interferenceAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/interferenceAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/interferenceAIM.so \ ./interferenceAIM.o ./cloudFns.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lc++ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C masstran clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils masstranAIM.cpp \ -o ./masstranAIM.o clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/masstranAIM.so ./masstranAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C meshWriter /Library/Developer/CommandLineTools/usr/bin/make -C exodusWriter clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I/Users/jenkins/util/sandialabs/seacas/include -I. exodusWriter.cpp \ -o ./exodusWriter.o clang++ -g -bundle -std=c++11 -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/exodusWriter.so ./exodusWriter.o \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C fastWriter clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include fastWriter.c \ -o ./fastWriter.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/fastWriter.so ./fastWriter.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C libMeshbWriter clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include libMeshbWriter.c \ -o ./libMeshbWriter.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -IlibMeshb/sources -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include libMeshb/sources/libmeshb7.c \ -o ./libmeshb7.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/libMeshbWriter.so ./libMeshbWriter.o ./libmeshb7.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C su2Writer clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include su2Writer.c \ -o ./su2Writer.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/su2Writer.so ./su2Writer.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C ugridWriter clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include ugridWriter.c \ -o ./ugridWriter.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/ugridWriter.so ./ugridWriter.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C mses clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils msesAIM.c -o msesAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils msesUtils.c -o msesUtils.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/msesAIM.so ./msesAIM.o ./msesUtils.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C mystran clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils mystranAIM.c \ -o ./mystranAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils mystranUtils.c \ -o ./mystranUtils.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/mystranAIM.so ./mystranAIM.o ./mystranUtils.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C nastran clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils nastranAIM.c \ -o ./nastranAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/nastranAIM.so ./nastranAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C plato clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. platoAIM.c \ -o ./platoAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/platoAIM.so ./platoAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C pointwise clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils pointwiseAIM.c \ -o ./pointwiseAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils hashElement.c \ -o ./hashElement.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pointwiseAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pointwiseAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pointwiseAIM.so ./pointwiseAIM.o ./hashElement.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm -lpthread /Library/Developer/CommandLineTools/usr/bin/make -C refine clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/libMeshbWriter refineAIM.c \ -o ./refineAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include \ -I../meshWriter/libMeshbWriter/libMeshb/sources \ ../meshWriter/libMeshbWriter/libMeshb/sources/libmeshb7.c \ -o ./libmeshb7.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/refineAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/refineAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/refineAIM.so ./refineAIM.o ./libmeshb7.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C sierraSD clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. sierraSDAIM.c \ -o ./sierraSDAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sierraSDAIM.so ./sierraSDAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C sierraSM clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. sierraSMAIM.c \ -o ./sierraSMAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/sierraSMAIM.so ./sierraSMAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C skeleton clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils skeletonAIM.c \ -o ./skeletonAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/skeletonAIM.so ./skeletonAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C spinnaker clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. spinnakerAIM.c \ -o ./spinnakerAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/spinnakerAIM.so ./spinnakerAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C su2 clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../meshWriter/su2Writer -I../utils su2AIM.c \ -o ./su2AIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils su2Utils.c \ -o ./su2Utils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils su2_4_Cardinal.c \ -o ./su2_4_Cardinal.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils su2_5_Raven.c \ -o ./su2_5_Raven.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils su2_6_Falcon.c \ -o ./su2_6_Falcon.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils su2_7_Blackbird.c \ -o ./su2_7_Blackbird.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils su2_8_Harrier.c \ -o ./su2_8_Harrier.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/su2AIM.so ./su2AIM.o ./su2Utils.o ./su2_4_Cardinal.o ./su2_5_Raven.o ./su2_6_Falcon.o ./su2_7_Blackbird.o ./su2_8_Harrier.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C tacs clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils tacsAIM.c \ -o ./tacsAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tacsAIM.so ./tacsAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C tetgen clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils tetgenAIM.cpp \ -o ./tetgenAIM.o clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I/Users/jenkins/util/tetgen/tetgen1.6.0 -I../utils \ -DTETLIBRARY tetgen_Interface.cpp -o ./tetgen_Interface.o clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DTETLIBRARY -w /Users/jenkins/util/tetgen/tetgen1.6.0/tetgen.cxx -o ./tetgen.o clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -O0 -w /Users/jenkins/util/tetgen/tetgen1.6.0/predicates.cxx -o ./predicates.o clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tetgenAIM.so ./tetgenAIM.o \ ./tetgen_Interface.o ./tetgen.o \ ./predicates.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C tsfoil clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils \ tsfoilAIM.c -o ./tsfoilAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/tsfoilAIM.so ./tsfoilAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C unitTest make[2]: Nothing to be done for `all'. /Library/Developer/CommandLineTools/usr/bin/make -C xfoil clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I../utils \ xfoilAIM.c -o ./xfoilAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/xfoilAIM.so ./xfoilAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm (cd OpenCSM; make -f serveESP.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timCapsMode.c -o ./timCapsMode.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/capsMode.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/capsMode.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/capsMode.so ./timCapsMode.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timFlowchart.c -o ./timFlowchart.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/flowchart.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/flowchart.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/flowchart.so ./timFlowchart.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. timViewer.c -o ./timViewer.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/viewer.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/viewer.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/viewer.so ./timViewer.o -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.7 -I/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/include -I. -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 timPyscript.c -o ./timPyscript.o touch /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pyscript.so rm /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pyscript.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib/pyscript.so ./timPyscript.o -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -L/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.7.0/./lib -Wl,-rpath /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib *** Build Completed! *** + export CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data + CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin ++ uname -a + [[ Darwin macys.mit.edu 22.6.0 Darwin Kernel Version 22.6.0: Tue Nov 7 21:48:06 PST 2023; root:xnu-8796.141.3.702.9~2/RELEASE_X86_64 x86_64 == *\D\a\r\w\i\n* ]] + sed -i '' '/.*capsExamples.*/d' /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/Verification.sh + bash -ex /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/Verification.sh + expectSuccess 01 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/expressions.vfy", so verification is being skipped ERROR:: (func_arg_out_of_bounds) in Branch Brch_000152 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:169]] ibeg must be be between 1 and strlen(str) when evaluating "slice(e3,0,999)" ERROR:: problem evaluating argument 2 (slice(e3,0,999)) for Branch 152 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (func_arg_out_of_bounds) in Branch Brch_000158 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:176]] ibeg must be be between 1 and strlen(str) when evaluating "slice(e3,14,999)" ERROR:: problem evaluating argument 2 (slice(e3,14,999)) for Branch 158 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (func_arg_out_of_bounds) in Branch Brch_000164 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:183]] iend must not be less than ibeg when evaluating "slice(e3,4,-1)" ERROR:: problem evaluating argument 2 (slice(e3,4,-1)) for Branch 164 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (illegal_pmtr_index) in Branch Brch_000317 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:384]] index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000332 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:402]] index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000346 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:418]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000360 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:434]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000367 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:442]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000374 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:450]] column index must be between 1 and 3 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000381 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:458]] column index must be between 1 and 3 --> catching signal -262 (illegal_pmtr_index) ERROR:: (UNKNOWN) in Branch Brch_001805 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:1914]] signal 1 thrown by user --> catching signal 1 (UNKNOWN) ERROR:: (illegal_value) in Branch Brch_001813 at [[/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/expressions.csm:1924]] vertex and base must be different --> catching signal -225 (illegal_value) ==> serveESP completed successfully with no verification data real 0m44.809s user 0m0.132s sys 0m0.045s + set +x ============================================= ESP verification case 01 passed (as expected) ============================================= + expectSuccess 02 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/demo2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/demo2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/demo2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m8.532s user 0m0.364s sys 0m0.075s + set +x ============================================= ESP verification case 02 passed (as expected) ============================================= + expectSuccess 03 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/tutorial1_whole + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/tutorial1_whole WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/verify_7.7.0/tutorial1_whole.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m7.232s user 0m3.003s sys 0m0.782s + set +x ============================================= ESP verification case 03 passed (as expected) ============================================= + expectSuccess 04 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/design2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/design2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/design2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.163s user 0m0.574s sys 0m0.055s + set +x ============================================= ESP verification case 04 passed (as expected) ============================================= + expectSuccess 05 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/design3 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/design3 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/design3.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.773s user 0m0.706s sys 0m0.064s + set +x ============================================= ESP verification case 05 passed (as expected) ============================================= + expectSuccess 06 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/tutorial2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/tutorial2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/verify_7.7.0/tutorial2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.010s user 0m0.720s sys 0m0.043s + set +x ============================================= ESP verification case 06 passed (as expected) ============================================= + expectSuccess 07 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/tutorial3 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/tutorial3 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/legacy/verify_7.7.0/tutorial3.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m4.661s user 0m5.319s sys 0m0.607s + set +x ============================================= ESP verification case 07 passed (as expected) ============================================= + expectSuccess 08 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/CAPS/myPlane + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/CAPS/myPlane WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/CAPS/verify_7.7.0/myPlane.vfy", so verification is being skipped ==> serveESP completed successfully with 12 warnings and no verification data real 0m23.530s user 0m39.426s sys 0m2.302s + set +x ============================================= ESP verification case 08 passed (as expected) ============================================= + expectSuccess 09 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/bottle + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/bottle WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/verify_7.7.0/bottle.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.959s user 0m0.681s sys 0m0.030s + set +x ============================================= ESP verification case 09 passed (as expected) ============================================= + expectSuccess 10 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/wingMultiModel + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/wingMultiModel WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/wingMultiModel.vfy", so verification is being skipped ==> serveESP completed successfully with 4 warnings and no verification data real 0m24.609s user 1m18.229s sys 0m16.732s + set +x ============================================= ESP verification case 10 passed (as expected) ============================================= + expectSuccess 11 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/bullet + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/bullet WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/bullet.vfy", so verification is being skipped WARNING:: 8 _hist and/or __trace__ attributes removed WARNING:: 8 _hist and/or __trace__ attributes removed ==> serveESP completed successfully with 1 warnings and no verification data real 0m0.723s user 0m0.327s sys 0m0.034s + set +x ============================================= ESP verification case 11 passed (as expected) ============================================= + expectSuccess 12 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/connect5 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/connect5 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/connect5.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.785s user 0m0.653s sys 0m0.021s + set +x ============================================= ESP verification case 12 passed (as expected) ============================================= + expectSuccess 13 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/group2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/group2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/group2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.206s user 0m0.226s sys 0m0.014s + set +x ============================================= ESP verification case 13 passed (as expected) ============================================= + expectSuccess 14 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/csm3 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/csm3 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/basic/verify_7.7.0/csm3.vfy", so verification is being skipped nnode=8 nedge=12 nface=6 nnode=8 nedge=12 nface=6 nnode=16 nedge=24 nface=10 nnode=8 nedge=12 nface=6 ==> serveESP completed successfully with no verification data real 0m0.489s user 0m0.412s sys 0m0.022s + set +x ============================================= ESP verification case 14 passed (as expected) ============================================= + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/pyESP/testEGADS + make test python --version Python 3.11.6 python -u -m unittest discover .................................................... PCurve Map: 48 (alloc 48)! Surface Map: 12 (alloc 24)! Curve Map: 28 (alloc 28)! Writing PCurves... Writing Curves... Writing Surfaces... Writing 16 Nodes... Writing 28 Edges... Writing 12 Loops... Writing 12 Faces... Writing 1 Shells... EGADS Info: 0 Objects, 0 Reference in Use (of 972) at Close! ................... ---------------------------------------------------------------------- Ran 71 tests in 2.908s OK + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/pyESP/testOCSM + source /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP/test_pyOCSM.sh ++ set -x ++ python -u test_pyOCSM.py -skipHelp real 0m5.095s user 0m7.129s sys 0m0.200s ++ set +x ================================================= test_pyOCSM.py passed (as expected) ================================================= + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/pyESP/testCAPS + make test python --version Python 3.11.6 python -u -m unittest discover ..Mapping Csys attributes ................ Number of unique Csys attributes = 2 Name = leftWingSkin, index = 1 Name = riteWingSkin, index = 2 Getting FEA coordinate systems....... Number of coordinate systems - 2 Coordinate system name - leftWingSkin Coordinate system name - riteWingSkin Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Upper_Left, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Wing1, index = 1 Name = Wing2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 143 Number of elements = 282 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 282 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 155 Number of elements = 306 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 306 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 298 Combined Number of elements = 588 Combined Elemental Nodes = 0 Combined Elemental Rods = 0 Combined Elemental Tria3 = 588 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Wing1 Property name - Wing2 Done getting FEA properties Updating mesh element types based on properties input ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ..Writing D3 Javascript library - d3.v3.min.js ........... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .No analysis directory provided - defaulting to altName Mapping Csys attributes ................ Number of unique Csys attributes = 2 Name = leftWingSkin, index = 1 Name = riteWingSkin, index = 2 Getting FEA coordinate systems....... Number of coordinate systems - 2 Coordinate system name - leftWingSkin Coordinate system name - riteWingSkin Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Upper_Left, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Wing1, index = 1 Name = Wing2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 209 Number of elements = 414 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 414 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 186 Number of elements = 368 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 368 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 395 Combined Number of elements = 782 Combined Elemental Nodes = 0 Combined Elemental Rods = 0 Combined Elemental Tria3 = 782 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Wing1 Property name - Wing2 Done getting FEA properties Updating mesh element types based on properties input ..........--> Opening DESPMTR file "unitGeom.param" updating CFGPMTR series [ 1, 1] = 8412.000000 updating CFGPMTR series2 [ 1, 1] = 20.000000 updating CFGPMTR VIEW:CFD [ 1, 1] = 1.000000 updating CFGPMTR nrow [ 1, 1] = 3.000000 updating CFGPMTR ncol [ 1, 1] = 2.000000 updating DESPMTR area [ 1, 1] = 40.000000 updating DESPMTR aspect [ 1, 1] = 5.000000 updating DESPMTR taper [ 1, 1] = 0.500000 updating DESPMTR twist [ 1, 1] = 15.000000 updating DESPMTR wing:lesweep [ 1, 1] = 30.000000 updating DESPMTR wing:dihedral [ 1, 1] = 1.000000 updating DESPMTR wing:chord:root [ 1, 1] = 1.560000 updating DESPMTR htail [ 1, 1] = 1.000000 updating DESPMTR htail:chord [ 1, 1] = 2.000000 updating DESPMTR vtail:chord [ 1, 1] = 3.000000 updating DESPMTR vtail [ 1, 1] = 4.000000 updating DESPMTR sphereR [ 1, 1] = 80.000000 updating DESPMTR v@1:d_name [ 1, 1] = 1.000000 updating DESPMTR despMat [ 1, 1] = 11.000000 updating DESPMTR despMat [ 1, 2] = 12.000000 updating DESPMTR despMat [ 2, 1] = 13.000000 updating DESPMTR despMat [ 2, 2] = 14.000000 updating DESPMTR despMat [ 3, 1] = 15.000000 updating DESPMTR despMat [ 3, 2] = 16.000000 updating DESPMTR despCol [ 1, 1] = 11.000000 updating DESPMTR despCol [ 2, 1] = 13.000000 updating DESPMTR despCol [ 3, 1] = 15.000000 updating DESPMTR despRow [ 1, 1] = 11.000000 updating DESPMTR despRow [ 1, 2] = 12.000000 updating DESPMTR despRow [ 1, 3] = 13.000000 ERROR:: DESPMTR file "unitGeom.param" not found CAPS Error: ocsmSaveDespmtrs = -201 (caps_readParameters)! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! ..Linked Parameter Beta to analysis su2 input Beta Linked Parameter Alpha to analysis su2 input Alpha No linkable data found for Altitude No linkable data found for Strings No linkable data found for Strings2 Linked Parameter Beta to analysis su2 input Beta Linked Parameter Alpha to analysis su2 input Alpha ............ EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . CAPS Info: Hit last success -- going live! ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .--> Opening DESPMTR file "unitGeom.param" updating CFGPMTR series [ 1, 1] = 8412.000000 updating CFGPMTR series2 [ 1, 1] = 20.000000 updating CFGPMTR VIEW:CFD [ 1, 1] = 1.000000 updating CFGPMTR nrow [ 1, 1] = 3.000000 updating CFGPMTR ncol [ 1, 1] = 2.000000 updating DESPMTR area [ 1, 1] = 40.000000 updating DESPMTR aspect [ 1, 1] = 5.000000 updating DESPMTR taper [ 1, 1] = 0.500000 updating DESPMTR twist [ 1, 1] = 15.000000 updating DESPMTR wing:lesweep [ 1, 1] = 30.000000 updating DESPMTR wing:dihedral [ 1, 1] = 1.000000 updating DESPMTR wing:chord:root [ 1, 1] = 1.560000 updating DESPMTR htail [ 1, 1] = 1.000000 updating DESPMTR htail:chord [ 1, 1] = 2.000000 updating DESPMTR vtail:chord [ 1, 1] = 3.000000 updating DESPMTR vtail [ 1, 1] = 4.000000 updating DESPMTR sphereR [ 1, 1] = 80.000000 updating DESPMTR v@1:d_name [ 1, 1] = 1.000000 updating DESPMTR despMat [ 1, 1] = 11.000000 updating DESPMTR despMat [ 1, 2] = 12.000000 updating DESPMTR despMat [ 2, 1] = 13.000000 updating DESPMTR despMat [ 2, 2] = 14.000000 updating DESPMTR despMat [ 3, 1] = 15.000000 updating DESPMTR despMat [ 3, 2] = 16.000000 updating DESPMTR despCol [ 1, 1] = 11.000000 updating DESPMTR despCol [ 2, 1] = 13.000000 updating DESPMTR despCol [ 3, 1] = 15.000000 updating DESPMTR despRow [ 1, 1] = 11.000000 updating DESPMTR despRow [ 1, 2] = 12.000000 updating DESPMTR despRow [ 1, 3] = 13.000000 ERROR:: DESPMTR file "unitGeom.param" not found CAPS Error: ocsmSaveDespmtrs = -201 (caps_readParameters)! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ................ EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ---------------------------------------------------------------------- Ran 100 tests in 132.343s OK WARNING: 'capsProblem.loadCAPS' is deprecated. Please use 'Problem.__init__'! WARNING: 'capsGeometry.__init__' is deprecated. Please use 'Problem.__init__'! WARNING: 'capsProblem.loadAIM' is deprecated. Please use 'Problem.analysis.create'! WARNING: 'capsAnalysis.__init__' is deprecated. Please use 'Problem.analysis.create'! WARNING: 'capsAnalysis.setAnalysisVal' is deprecated. Please use 'Analysis.input["varname"].value'! WARNING: 'capsGeometry.setGeometryVal' is deprecated. Please use 'Problem.geometry.despmtr["varname"].value'! WARNING: 'capsAnalysis.getAnalysisOutVal' is deprecated. Please use 'Analysis.output["varname"].value'! WARNING: 'capsProblem.__init__' is deprecated. Please use 'Problem.__init__'! + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/ + make test python --version Python 3.11.6 python -u -m unittest discover --verbose test_journal (test_aflr2.TestAFLR2.test_journal) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.053 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.004 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2224 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2224 2224 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 446 894 AFLR2 IG : Nodes, Faces = 850 1702 AFLR2 IG : Nodes, Faces = 851 1704 AFLR2 IG : Nodes, Faces = 892 1786 AFLR2 IG : Nodes, Faces = 1301 2604 AFLR2 IG : Nodes, Faces = 1338 2678 AFLR2 IG : Nodes, Faces = 1401 2804 AFLR2 IG : Nodes, Faces = 1501 3004 AFLR2 IG : Nodes, Faces = 1601 3204 AFLR2 IG : Nodes, Faces = 1701 3404 AFLR2 IG : Nodes, Faces = 1784 3570 AFLR2 IG : Nodes, Faces = 1801 3604 AFLR2 IG : Nodes, Faces = 1901 3804 AFLR2 IG : Nodes, Faces = 2001 4004 AFLR2 IG : Nodes, Faces = 2101 4204 AFLR2 IG : Nodes, Faces = 2201 4404 AFLR2 IG : Nodes, Faces = 2224 4450 AFLR2 IG : Nodes, Faces = 2228 4450 AFLR2 IG : Nodes, Faces = 2224 2224 AFLR2 : CPU Time = 0.009 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2224 2224 AFLR2 GG : Nodes, Faces = 4121 6018 AFLR2 GG : Nodes, Faces = 5676 9128 AFLR2 GG : Nodes, Faces = 6930 11636 AFLR2 GG : Nodes, Faces = 8008 13792 AFLR2 GG : Nodes, Faces = 8945 15666 AFLR2 GG : Nodes, Faces = 9742 17260 AFLR2 GG : Nodes, Faces = 10427 18630 AFLR2 GG : Nodes, Faces = 11007 19790 AFLR2 GG : Nodes, Faces = 11514 20804 AFLR2 GG : Nodes, Faces = 11940 21656 AFLR2 GG : Nodes, Faces = 12314 22404 AFLR2 GG : Nodes, Faces = 12630 23036 AFLR2 GG : Nodes, Faces = 12902 23580 AFLR2 GG : Nodes, Faces = 13117 24010 AFLR2 GG : Nodes, Faces = 13293 24362 AFLR2 GG : Nodes, Faces = 13417 24610 AFLR2 GG : Nodes, Faces = 13516 24808 AFLR2 GG : Nodes, Faces = 13581 24938 AFLR2 GG : Nodes, Faces = 13623 25022 AFLR2 GG : Nodes, Faces = 13649 25074 AFLR2 GG : Nodes, Faces = 13660 25096 AFLR2 GG : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.037 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.003 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 13664 Number of elements = 27328 Number of tris = 25104 Number of quad = 0 EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.052 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.004 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2224 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2224 2224 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 446 894 AFLR2 IG : Nodes, Faces = 850 1702 AFLR2 IG : Nodes, Faces = 851 1704 AFLR2 IG : Nodes, Faces = 892 1786 AFLR2 IG : Nodes, Faces = 1301 2604 AFLR2 IG : Nodes, Faces = 1338 2678 AFLR2 IG : Nodes, Faces = 1401 2804 AFLR2 IG : Nodes, Faces = 1501 3004 AFLR2 IG : Nodes, Faces = 1601 3204 AFLR2 IG : Nodes, Faces = 1701 3404 AFLR2 IG : Nodes, Faces = 1784 3570 AFLR2 IG : Nodes, Faces = 1801 3604 AFLR2 IG : Nodes, Faces = 1901 3804 AFLR2 IG : Nodes, Faces = 2001 4004 AFLR2 IG : Nodes, Faces = 2101 4204 AFLR2 IG : Nodes, Faces = 2201 4404 AFLR2 IG : Nodes, Faces = 2224 4450 AFLR2 IG : Nodes, Faces = 2228 4450 AFLR2 IG : Nodes, Faces = 2224 2224 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2224 2224 AFLR2 GG : Nodes, Faces = 4121 6018 AFLR2 GG : Nodes, Faces = 5676 9128 AFLR2 GG : Nodes, Faces = 6930 11636 AFLR2 GG : Nodes, Faces = 8008 13792 AFLR2 GG : Nodes, Faces = 8945 15666 AFLR2 GG : Nodes, Faces = 9742 17260 AFLR2 GG : Nodes, Faces = 10427 18630 AFLR2 GG : Nodes, Faces = 11007 19790 AFLR2 GG : Nodes, Faces = 11514 20804 AFLR2 GG : Nodes, Faces = 11940 21656 AFLR2 GG : Nodes, Faces = 12314 22404 AFLR2 GG : Nodes, Faces = 12630 23036 AFLR2 GG : Nodes, Faces = 12902 23580 AFLR2 GG : Nodes, Faces = 13117 24010 AFLR2 GG : Nodes, Faces = 13293 24362 AFLR2 GG : Nodes, Faces = 13417 24610 AFLR2 GG : Nodes, Faces = 13516 24808 AFLR2 GG : Nodes, Faces = 13581 24938 AFLR2 GG : Nodes, Faces = 13623 25022 AFLR2 GG : Nodes, Faces = 13649 25074 AFLR2 GG : Nodes, Faces = 13660 25096 AFLR2 GG : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.028 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.002 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 13664 Number of elements = 27328 Number of tris = 25104 Number of quad = 0 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 ok test_phase (test_aflr2.TestAFLR2.test_phase) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.050 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.004 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 48 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 48 48 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 10 22 AFLR2 IG : Nodes, Faces = 20 42 AFLR2 IG : Nodes, Faces = 30 62 AFLR2 IG : Nodes, Faces = 38 78 AFLR2 IG : Nodes, Faces = 39 80 AFLR2 IG : Nodes, Faces = 40 82 AFLR2 IG : Nodes, Faces = 48 98 AFLR2 IG : Nodes, Faces = 52 98 AFLR2 IG : Nodes, Faces = 48 48 AFLR2 : CPU Time = 0.000 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 48 48 AFLR2 GG : Nodes, Faces = 88 128 AFLR2 GG : Nodes, Faces = 106 164 AFLR2 GG : Nodes, Faces = 120 192 AFLR2 GG : Nodes, Faces = 132 216 AFLR2 GG : Nodes, Faces = 143 238 AFLR2 GG : Nodes, Faces = 154 260 AFLR2 GG : Nodes, Faces = 165 282 AFLR2 GG : Nodes, Faces = 174 300 AFLR2 GG : Nodes, Faces = 181 314 AFLR2 GG : Nodes, Faces = 183 318 AFLR2 : CPU Time = 0.000 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 183 318 AFLR2 : CPU Time = 0.000 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 183 Number of elements = 366 Number of tris = 318 Number of quad = 0 ok test_reenter (test_aflr2.TestAFLR2.test_reenter) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 344 344 AFLR2 GG : Nodes, Faces = 680 1016 AFLR2 GG : Nodes, Faces = 1009 1674 AFLR2 GG : Nodes, Faces = 1333 2322 AFLR2 GG : Nodes, Faces = 1650 2956 AFLR2 GG : Nodes, Faces = 1957 3570 AFLR2 GG : Nodes, Faces = 2258 4172 AFLR2 GG : Nodes, Faces = 2548 4752 AFLR2 GG : Nodes, Faces = 2831 5318 AFLR2 GG : Nodes, Faces = 3102 5860 AFLR2 GG : Nodes, Faces = 3364 6384 AFLR2 GG : Nodes, Faces = 3614 6884 AFLR2 GG : Nodes, Faces = 3830 7316 AFLR2 GG : Nodes, Faces = 4037 7730 AFLR2 GG : Nodes, Faces = 4229 8114 AFLR2 GG : Nodes, Faces = 4415 8486 AFLR2 GG : Nodes, Faces = 4594 8844 AFLR2 GG : Nodes, Faces = 4763 9182 AFLR2 GG : Nodes, Faces = 4926 9508 AFLR2 GG : Nodes, Faces = 5086 9828 AFLR2 GG : Nodes, Faces = 5239 10134 AFLR2 GG : Nodes, Faces = 5385 10426 AFLR2 GG : Nodes, Faces = 5529 10714 AFLR2 GG : Nodes, Faces = 5669 10994 AFLR2 GG : Nodes, Faces = 5812 11280 AFLR2 GG : Nodes, Faces = 5943 11542 AFLR2 GG : Nodes, Faces = 6070 11796 AFLR2 GG : Nodes, Faces = 6189 12034 AFLR2 GG : Nodes, Faces = 6307 12270 AFLR2 GG : Nodes, Faces = 6415 12486 AFLR2 GG : Nodes, Faces = 6510 12676 AFLR2 GG : Nodes, Faces = 6595 12846 AFLR2 GG : Nodes, Faces = 6665 12986 AFLR2 GG : Nodes, Faces = 6727 13110 AFLR2 GG : Nodes, Faces = 6772 13200 AFLR2 GG : Nodes, Faces = 6806 13268 AFLR2 GG : Nodes, Faces = 6831 13318 AFLR2 GG : Nodes, Faces = 6850 13356 AFLR2 GG : Nodes, Faces = 6864 13384 AFLR2 GG : Nodes, Faces = 6872 13400 AFLR2 GG : Nodes, Faces = 6875 13406 AFLR2 GG : Nodes, Faces = 6876 13408 AFLR2 : CPU Time = 0.013 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6876 13408 AFLR2 : CPU Time = 0.001 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6876 Number of elements = 13752 Number of tris = 13408 Number of quad = 0 Writing TECPLOT file: pyCAPS_aflr2_Tri.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.012 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.006 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_aflr2_Quad.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! ok test_setInput (test_aflr2.TestAFLR2.test_setInput) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.016 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.008 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_aflr2_Test.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! ok test_Multiple_Mesh (test_aflr3.TestAFLR3.test_Multiple_Mesh) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Getting volume mesh for body 1 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box, index = 1 AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 1 (of 7): Number of nodes = 1189 Number of elements = 6249 Number of triangles = 1332 Number of quadrilatarals = 0 Number of tetrahedrals = 4917 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 2 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cylinder, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 2 (of 7): Number of nodes = 6353 Number of elements = 35297 Number of triangles = 4430 Number of quadrilatarals = 0 Number of tetrahedrals = 30867 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 3 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cone, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 3 (of 7): Number of nodes = 13586 Number of elements = 76197 Number of triangles = 8142 Number of quadrilatarals = 0 Number of tetrahedrals = 68055 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 4 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = torus, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 4 (of 7): Number of nodes = 42291 Number of elements = 240392 Number of triangles = 19942 Number of quadrilatarals = 0 Number of tetrahedrals = 220450 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 5 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = sphere, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 5 (of 7): Number of nodes = 4366 Number of elements = 24242 Number of triangles = 3014 Number of quadrilatarals = 0 Number of tetrahedrals = 21228 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 6 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = boxhole, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 6 (of 7): Number of nodes = 11036 Number of elements = 60950 Number of triangles = 8628 Number of quadrilatarals = 0 Number of tetrahedrals = 52322 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 7 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = bullet, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh for body 7 (of 7): Number of nodes = 10730 Number of elements = 60223 Number of triangles = 6362 Number of quadrilatarals = 0 Number of tetrahedrals = 53861 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Getting volume mesh for body 1 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Getting volume mesh for body 2 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Getting volume mesh for body 3 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Getting volume mesh for body 4 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Getting volume mesh for body 5 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Getting volume mesh for body 6 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Getting volume mesh for body 7 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 89551 Number of elements = 503550 Number of triangles = 51850 Number of quadrilatarals = 0 Number of tetrahedrals = 451700 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing AFLR3 file .... Finished writing AFLR3 file ok test_all (test_aflr3.TestAFLR3.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 17731 Number of elements = 101026 Number of triangles = 8318 Number of quadrilatarals = 0 Number of tetrahedrals = 92708 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_box (test_aflr3.TestAFLR3.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 2592 Number of elements = 13823 Number of triangles = 2758 Number of quadrilatarals = 0 Number of tetrahedrals = 11065 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_boxhole (test_aflr3.TestAFLR3.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 3651 Number of elements = 19783 Number of triangles = 3394 Number of quadrilatarals = 0 Number of tetrahedrals = 16389 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_bullet (test_aflr3.TestAFLR3.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5487 Number of elements = 30509 Number of triangles = 3656 Number of quadrilatarals = 0 Number of tetrahedrals = 26853 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cone (test_aflr3.TestAFLR3.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 4694 Number of elements = 25935 Number of triangles = 3326 Number of quadrilatarals = 0 Number of tetrahedrals = 22609 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cylinder (test_aflr3.TestAFLR3.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5554 Number of elements = 30862 Number of triangles = 3756 Number of quadrilatarals = 0 Number of tetrahedrals = 27106 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_faceMatch (test_aflr3.TestAFLR3.test_faceMatch) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 2260 Number of elements = 12133 Number of triangles = 2222 Number of quadrilatarals = 0 Number of tetrahedrals = 9911 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 3341 Number of elements = 18069 Number of triangles = 3110 Number of quadrilatarals = 0 Number of tetrahedrals = 14959 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 4414 Number of elements = 23974 Number of triangles = 3998 Number of quadrilatarals = 0 Number of tetrahedrals = 19976 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5490 Number of elements = 29891 Number of triangles = 4886 Number of quadrilatarals = 0 Number of tetrahedrals = 25005 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 6542 Number of elements = 35667 Number of triangles = 5774 Number of quadrilatarals = 0 Number of tetrahedrals = 29893 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 7601 Number of elements = 41489 Number of triangles = 6662 Number of quadrilatarals = 0 Number of tetrahedrals = 34827 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 19800 Number of elements = 109798 Number of triangles = 15540 Number of quadrilatarals = 0 Number of tetrahedrals = 94258 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_journal (test_aflr3.TestAFLR3.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 ok test_phase (test_aflr3.TestAFLR3.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_reenter (test_aflr3.TestAFLR3.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_setInput (test_aflr3.TestAFLR3.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_aflr3.TestAFLR3.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5047 Number of elements = 27987 Number of triangles = 3464 Number of quadrilatarals = 0 Number of tetrahedrals = 24523 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_torus (test_aflr3.TestAFLR3.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 6467 Number of elements = 36212 Number of triangles = 4048 Number of quadrilatarals = 0 Number of tetrahedrals = 32164 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_transp (test_aflr3.TestAFLR3.test_transp) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 40512 Number of elements = 233939 Number of triangles = 12424 Number of quadrilatarals = 0 Number of tetrahedrals = 221515 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 44117 Number of elements = 255216 Number of triangles = 12406 Number of quadrilatarals = 0 Number of tetrahedrals = 242810 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 40512 Number of elements = 233921 Number of triangles = 12406 Number of quadrilatarals = 0 Number of tetrahedrals = 221515 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_MultiBody_quad (test_aflr4.TestAFLR4.test_MultiBody_quad) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value ok test_SingleBody_output (test_aflr4.TestAFLR4.test_SingleBody_output) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - trailingEdge Done getting mesh sizing parameters ok test_all (test_aflr4.TestAFLR4.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_box (test_aflr4.TestAFLR4.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_boxhole (test_aflr4.TestAFLR4.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_bullet (test_aflr4.TestAFLR4.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cone (test_aflr4.TestAFLR4.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cylinder (test_aflr4.TestAFLR4.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_faceMatch (test_aflr4.TestAFLR4.test_faceMatch) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_invalid_Mesh_Lenght_Scale (test_aflr4.TestAFLR4.test_invalid_Mesh_Lenght_Scale) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_journal (test_aflr4.TestAFLR4.test_journal) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ok test_phase (test_aflr4.TestAFLR4.test_phase) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_reenter (test_aflr4.TestAFLR4.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_setInput (test_aflr4.TestAFLR4.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_aflr4.TestAFLR4.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_torus (test_aflr4.TestAFLR4.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_Aeroelastic (test_astros.TestAstros.test_Aeroelastic) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Rib_Root, index = 1 Name = Skin, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Getting surface mesh for body 1 (of 2) Getting surface mesh for body 2 (of 2) Body 1 (of 2) Number of nodes = 1 Number of elements = 1 Number of node elements = 1 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 0 Body 2 (of 2) Number of nodes = 46 Number of elements = 44 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 44 ---------------------------- Total number of nodes = 47 Total number of elements = 45 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Rib_Root_Point, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Skin_Top, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 1 Name = Rib_Root, index = 1 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Rib_Root, index = 1 Name = Skin, index = 2 Name = Wing, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Rib_Root, index = 1 Name = Skin, index = 2 Name = Wing, index = 3 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 46 Number of elements = 44 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 44 Combining multiple FEA meshes! Combined Number of nodal coordinates = 47 Combined Number of elements = 45 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 44 Getting vortex lattice surface data VLM surface name - Skin_Top Done getting vortex lattice surface data Getting FEA vortex lattice mesh Surface 1: Number of points found for aero-spline = 3 Surface 2: Number of points found for aero-spline = 6 (Re-)Combining all aerodynamic surfaces into a 'Wing', 'Canard', and/or 'Fin' single surfaces ! Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Unobtainium No "materialType" specified for Material tuple Unobtainium, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Rib_Root No "material" specified for Property tuple Rib_Root, defaulting to an index of 1 Property name - Skin No "material" specified for Property tuple Skin, defaulting to an index of 1 Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - ribConstraint No "constraintType" specified for Constraint tuple ribConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Getting FEA supports....... Number of supports - 1 Support name - ribSupport Done getting FEA supports Getting FEA connections....... Number of connection tuples - 1 Connection name - Rib_Root No "groupName" specified for Connection tuple Rib_Root! Looking for automatic connections from the use of capsConnectLink for Rib_Root 8 automatic connections were made for capsConnect Rib_Root (node id 1) Done getting FEA connections Load tuple is NULL - No loads applied Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Getting FEA analyses....... Number of analyses - 1 Analysis name - Trim1 Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing connection cards - appending mesh file Writing Astros instruction file.... Writing aeros card Writing analysis cards Writing constraint cards--each subcase individually Writing support cards Writing material cards Writing property cards Writing aeroelastic cards Running Astros...... Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL Done running Astros! ok test_Plate (test_astros.TestAstros.test_Plate) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Face 1 TFI quading disabled with attribute .qParams Getting surface mesh for body 1 (of 1) Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Body 1 (of 1) Number of nodes = 25 Number of elements = 16 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 16 ---------------------------- Total number of nodes = 25 Total number of elements = 16 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = plateEdge, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = plate, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 25 Number of elements = 16 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 16 Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Analysis tuple is NULL Getting FEA analyses....... Number of analyses - 1 Analysis name - Default Done getting FEA analyses Writing Astros grid and connectivity file .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Analysis tuple is NULL Getting FEA analyses....... Number of analyses - 1 Analysis name - Default Done getting FEA analyses Writing Astros grid and connectivity file (in large field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Analysis tuple is NULL Getting FEA analyses....... Number of analyses - 1 Analysis name - Default Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL ok test_MassProp_Units (test_avl.TestAVL.test_MassProp_Units) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp ok test_MassProp_noUnits (test_avl.TestAVL.test_MassProp_noUnits) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp ok test_alpha_custom_increment (test_avl.TestAVL.test_alpha_custom_increment) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. ok test_geom_change (test_avl.TestAVL.test_geom_change) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 ok test_numSpan (test_avl.TestAVL.test_numSpan) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing ok test_phase (test_avl.TestAVL.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. ok test_wing_Vtail (test_avl.TestAVL.test_wing_Vtail) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - VTail1 No "groupName" variable provided or no matches found, going to use tuple name VLM surface name - VTail2 No "groupName" variable provided or no matches found, going to use tuple name VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - VTail1 (ID = 0) Section 1 of 2 (ID = 1) Section 2 of 2 (ID = 0) Writing surface - VTail2 (ID = 1) Section 1 of 2 (ID = 0) Section 2 of 2 (ID = 1) Writing surface - Wing (ID = 2) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 ok test_wing_tail (test_avl.TestAVL.test_wing_tail) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing VLM surface name - hTail VLM surface name - vTail No "groupName" variable provided or no matches found, going to use tuple name Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Rudder not found in controls tuple! Only defaults will be used. Warning: Control Rudder not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing surface - hTail (ID = 1) Section 1 of 3 (ID = 2) Control surface 1 of 1 Section 2 of 3 (ID = 1) Control surface 1 of 1 Section 3 of 3 (ID = 0) Control surface 1 of 1 Writing surface - vTail (ID = 2) Section 1 of 2 (ID = 0) Control surface 1 of 1 Section 2 of 2 (ID = 1) Control surface 1 of 1 ok test_wing_tail_control (test_avl.TestAVL.test_wing_tail_control) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice control surface data VLM control surface name - Elevator VLM control surface name - LeftAileron VLM control surface name - RightAileron VLM control surface name - Rudder Done getting vortex lattice control surface data Getting vortex lattice surface data VLM surface name - Wing VLM surface name - hTail VLM surface name - vTail No "groupName" variable provided or no matches found, going to use tuple name Done getting vortex lattice surface data Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing surface - hTail (ID = 1) Section 1 of 3 (ID = 2) Control surface 1 of 1 Section 2 of 3 (ID = 1) Control surface 1 of 1 Section 3 of 3 (ID = 0) Control surface 1 of 1 Writing surface - vTail (ID = 2) Section 1 of 2 (ID = 0) Control surface 1 of 1 Section 2 of 2 (ID = 1) Control surface 1 of 1 ok test_TargetCL (test_cart3d.TestCart3D.test_TargetCL) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 28.284271 -nDiv 9 -maxR 7 -mesh2d > autoInputs.out Executing: ./aero.csh > aero.out ok test_outputs (test_cart3d.TestCart3D.test_outputs) ... ok test_reenter (test_cart3d.TestCart3D.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Getting CFD functional....... Number of design variables - 1 Objective name - Drag Done getting CFD functional Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out Executing: ./aero.csh > aero.out Executing: ./aero.csh > aero.out Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.307131 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out Executing: ./aero.csh > aero.out ok test_sensitivity_AnalysisIn (test_cart3d.TestCart3D.test_sensitivity_AnalysisIn) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Getting CFD design variables....... Number of design variables - 1 Design Variable name - Mach Done getting CFD design variables Getting CFD functional....... Number of design variables - 3 Objective name - C_A Objective name - C_N Objective name - C_Y Done getting CFD functional Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:28) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:30) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:32) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:34) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:30:35) Linearizing cut-cells (11:30:35) ... done o Number of simultaneous xsensit jobs: 1 (11:30:35) Computing gradients (11:30:44) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:45) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:46) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 3 Objective name - C_D Objective name - C_L Objective name - C_S Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:47) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:49) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:50) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:53) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:30:53) Linearizing cut-cells (11:30:53) ... done o Number of simultaneous xsensit jobs: 1 (11:30:53) Computing gradients (11:31:01) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:02) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:03) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_l Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:05) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:06) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:07) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:08) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:09) Linearizing cut-cells (11:31:09) ... done o Number of simultaneous xsensit jobs: 1 (11:31:09) Computing gradients (11:31:13) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:14) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:17) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_m Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:18) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:19) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:20) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:21) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:22) Linearizing cut-cells (11:31:22) ... done o Number of simultaneous xsensit jobs: 1 (11:31:22) Computing gradients (11:31:26) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:27) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:29) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_n Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:30) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:31) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:32) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:33) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:34) Linearizing cut-cells (11:31:34) ... done o Number of simultaneous xsensit jobs: 1 (11:31:34) Computing gradients (11:31:38) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:42) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:50) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_M_x Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:55) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:32:07) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:32:16) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:32:44) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:32:48) Linearizing cut-cells (11:32:48) ... done o Number of simultaneous xsensit jobs: 1 (11:32:50) Computing gradients (11:33:00) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:33:06) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:33:18) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_M_y Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:33:26) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:33:36) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:33:46) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:33:57) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:33:59) Linearizing cut-cells (11:33:59) ... done o Number of simultaneous xsensit jobs: 1 (11:34:00) Computing gradients (11:34:04) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:34:10) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:34:17) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_M_z Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:34:21) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:34:36) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:34:44) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:35:01) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:35:08) Linearizing cut-cells (11:35:08) ... done o Number of simultaneous xsensit jobs: 1 (11:35:09) Computing gradients (11:35:17) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:35:23) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:35:31) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) ok test_sensitivity_GeometryIn (test_cart3d.TestCart3D.test_sensitivity_GeometryIn) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Getting CFD design variables....... Number of design variables - 2 Design Variable name - Mach Design Variable name - area Done getting CFD design variables Getting CFD functional....... Number of design variables - 3 Objective name - C_A Objective name - C_N Objective name - C_Y Done getting CFD functional Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:36:21) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:36:31) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:36:44) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:37:00) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 2 o Number of simultaneous cutter jobs: 2 (11:37:01) Linearizing cut-cells (11:37:03) ... done o Number of simultaneous xsensit jobs: 2 (11:37:03) Computing gradients Adjusted OMP_NUM_THREADS to 1 for xsensit (11:37:12) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:37:23) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:37:33) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) ok test_Output (test_cbaero.TestCBAERO.test_Output) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 2 Name = Wing1, index = 1 Name = trailingEdge, index = 2 Writing FAST file .... Finished writing FAST file Writing CBAero input file - cbaero_CAPS.cbaero Writing CBAero tagged regions - TaggedRegions Writing CBAero setup file - cbaero_CAPS.stp ok test_inputs (test_cbaero.TestCBAERO.test_inputs) ... Writing CBAero input file - cbaero_CAPS.cbaero Writing CBAero tagged regions - TaggedRegions Writing CBAero setup file - cbaero_CAPS.stp ok test_MultiBody (test_egadsTess.TestEGADS.test_MultiBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value ok test_SingleBody_AnalysisOutVal (test_egadsTess.TestEGADS.test_SingleBody_AnalysisOutVal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters ok test_all (test_egadsTess.TestEGADS.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 9 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = nodeBody, index = 8 Name = farfield, index = 9 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 4 7, sen = -1! 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! 70000CE87000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 70000CE87000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_box (test_egadsTess.TestEGADS.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_boxhole (test_egadsTess.TestEGADS.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 4 7, sen = -1! 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! 70000CE87000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 70000CE87000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! ok test_bullet (test_egadsTess.TestEGADS.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cone (test_egadsTess.TestEGADS.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cylinder (test_egadsTess.TestEGADS.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_invalid_Mesh_Lenght_Scale (test_egadsTess.TestEGADS.test_invalid_Mesh_Lenght_Scale) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_journal (test_egadsTess.TestEGADS.test_journal) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ok test_nodeBody (test_egadsTess.TestEGADS.test_nodeBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = nodeBody, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_phase (test_egadsTess.TestEGADS.test_phase) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ok test_reenter (test_egadsTess.TestEGADS.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters ok test_setInput (test_egadsTess.TestEGADS.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_egadsTess.TestEGADS.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_torus (test_egadsTess.TestEGADS.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_Design_SensFile (test_fun3d.TestFUN3D.test_Design_SensFile) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 7819 Number of elements = 15634 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 15634 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 5889 Number of elements = 11774 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 11774 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 516 Number of elements = 1028 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1028 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 14224 Total number of elements = 28436 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14224, elements - 28436 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.171509 Creating surface mesh ... Surface mesh seconds: 0.030277 Recovering boundaries... Boundary recovery seconds: 0.129448 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.053965 Recovering Delaunayness... Delaunay recovery seconds: 0.06931 Refining mesh... 18960 insertions, added 14017 points, 701008 tetrahedra in queue. 6313 insertions, added 2494 points, 554976 tetrahedra in queue. 8415 insertions, added 1753 points, 70 tetrahedra in queue. Refinement seconds: 2.51132 Smoothing vertices... Mesh smoothing seconds: 2.34803 Improving mesh... Mesh improvement seconds: 0.057697 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.019543 Total running seconds: 5.39166 Statistics: Input points: 14224 Input facets: 28436 Input segments: 42654 Input holes: 2 Input regions: 0 Mesh points: 33058 Mesh tetrahedra: 159464 Mesh faces: 333146 Mesh faces on exterior boundary: 28436 Mesh faces on input facets: 28436 Mesh edges on input segments: 42654 Steiner points inside domain: 18834 Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 5 Design Variable name - Mach Warning: No initial value set for Mach Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. ok test_Design_Sensitivity (test_fun3d.TestFUN3D.test_Design_Sensitivity) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 6 Design Variable name - Alpha Design Variable name - Beta Warning: No initial value set for Beta Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Python library was linked, but will not be used! Creating FUN3D directory tree Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Overwrite_NML is set to 'True' - a new namelist will be created Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Reading rubber.data Getting CFD design variables....... Number of design variables - 1 Design Variable name - Alpha Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Creating FUN3D directory tree DesignVariable = Alpha Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Overwrite_NML is set to 'True' - a new namelist will be created Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Reading rubber.data ok test_Mesh_Morph_Sensitivity (test_fun3d.TestFUN3D.test_Mesh_Morph_Sensitivity) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 6 Design Variable name - Alpha Design Variable name - Beta Warning: No initial value set for Beta Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing rubber.data Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Projecting tessellation 1 (of 3) on to new body Projecting tessellation 2 (of 3) on to new body Projecting tessellation 3 (of 3) on to new body Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Projecting tessellation 1 (of 3) on to new body Projecting tessellation 2 (of 3) on to new body Projecting tessellation 3 (of 3) on to new body Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data ok test_cythonNML (test_fun3d.TestFUN3D.test_cythonNML) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 7819 Number of elements = 15634 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 15634 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 5889 Number of elements = 11774 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 11774 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 516 Number of elements = 1028 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1028 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 14224 Total number of elements = 28436 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14224, elements - 28436 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.144505 Creating surface mesh ... Surface mesh seconds: 0.02523 Recovering boundaries... Boundary recovery seconds: 0.099362 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.033236 Recovering Delaunayness... Delaunay recovery seconds: 0.048159 Refining mesh... 18960 insertions, added 14017 points, 701008 tetrahedra in queue. 6313 insertions, added 2494 points, 554976 tetrahedra in queue. 8415 insertions, added 1753 points, 70 tetrahedra in queue. Refinement seconds: 2.17075 Smoothing vertices... Mesh smoothing seconds: 2.26234 Improving mesh... Mesh improvement seconds: 0.059369 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.017216 Total running seconds: 4.86073 Statistics: Input points: 14224 Input facets: 28436 Input segments: 42654 Input holes: 2 Input regions: 0 Mesh points: 33058 Mesh tetrahedra: 159464 Mesh faces: 333146 Mesh faces on exterior boundary: 28436 Mesh faces on input facets: 28436 Mesh edges on input segments: 42654 Steiner points inside domain: 18834 Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Reading /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM4/fun3d.nml ..... Appending namelist Done writing nml file with Python Info: No recognized data transfer names found. ok test_cythonNMLError (test_fun3d.TestFUN3D.test_cythonNMLError) ... ok test_cythonNMLReentrance (test_fun3d.TestFUN3D.test_cythonNMLReentrance) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM6/fun3d.nml not found! Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Reading /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM6/fun3d.nml ..... Appending namelist Done writing nml file with Python Info: No recognized data transfer names found. ok test_invalidBoundary (test_fun3d.TestFUN3D.test_invalidBoundary) ... Getting CFD boundary conditions Boundary condition name - Wing1 ok test_invalidBoundaryName (test_fun3d.TestFUN3D.test_invalidBoundaryName) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - X ok test_journal (test_fun3d.TestFUN3D.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 5071, elements - 10130 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 5071, elements - 10130 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! ok test_moving_body (test_fun3d.TestFUN3D.test_moving_body) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Writing moving_body.input ok test_overwriteNML (test_fun3d.TestFUN3D.test_overwriteNML) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_phase (test_fun3d.TestFUN3D.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14224, elements - 28436 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_reenter (test_fun3d.TestFUN3D.test_reenter) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. ok test_symmetry (test_fun3d.TestFUN3D.test_symmetry) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_box1 (test_masstran.TestMasstran.test_box1) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_box2 (test_masstran.TestMasstran.test_box2) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_box3 (test_masstran.TestMasstran.test_box3) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - box2 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ok test_journal (test_masstran.TestMasstran.test_journal) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Updating mesh element types based on properties input EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input ok test_plate (test_masstran.TestMasstran.test_plate) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_plate_point (test_masstran.TestMasstran.test_plate_point) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ok test_Cheby_Modes (test_mses.TestMSES_Kulfan.test_Cheby_Modes) ... Getting CFD design variables....... Number of design variables - 4 Design Variable name - alowervar Design Variable name - auppervar Design Variable name - classvar Design Variable name - ztailvar Done getting CFD design variables CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 ok test_Cheby_Modes_Symmetric (test_mses.TestMSES_Kulfan.test_Cheby_Modes_Symmetric) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - avar Done getting CFD design variables CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 ok test_allInputs (test_mses.TestMSES_Kulfan.test_allInputs) ... ok test_execute (test_mses.TestMSES_Kulfan.test_execute) ... ok test_sensitivity_AnalysisIn (test_mses.TestMSES_Kulfan.test_sensitivity_AnalysisIn) ... ok test_Cheby_Modes_Symmetric (test_mses.TestMSES_NACA.test_Cheby_Modes_Symmetric) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables ok test_geom_sensitivity_CL (test_mses.TestMSES_NACA.test_geom_sensitivity_CL) ... Getting CFD design variables....... Number of design variables - 2 Design Variable name - camber Design Variable name - thick Done getting CFD design variables ok test_journal (test_mses.TestMSES_NACA.test_journal) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables ok test_MultiBody (test_pointwise.TestPointwise.test_MultiBody) ... skipped 'No pointwise executable' test_SingleBody (test_pointwise.TestPointwise.test_SingleBody) ... skipped 'No pointwise executable' test_all (test_pointwise.TestPointwise.test_all) ... skipped 'No pointwise executable' test_box (test_pointwise.TestPointwise.test_box) ... skipped 'No pointwise executable' test_bullet (test_pointwise.TestPointwise.test_bullet) ... skipped 'No pointwise executable' test_cone (test_pointwise.TestPointwise.test_cone) ... skipped 'No pointwise executable' test_cylinder (test_pointwise.TestPointwise.test_cylinder) ... skipped 'No pointwise executable' test_executeError (test_pointwise.TestPointwise.test_executeError) ... skipped 'No pointwise executable' test_reenter (test_pointwise.TestPointwise.test_reenter) ... skipped 'No pointwise executable' test_sphere (test_pointwise.TestPointwise.test_sphere) ... skipped 'No pointwise executable' EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! test_all (test_refine.TestREFINE.test_all) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 1015 Number of elements = 5450 Number of triangles = 918 Number of quadrilatarals = 0 Number of tetrahedrals = 4532 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_box_volume (test_refine.TestREFINE.test_box_volume) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 323 Number of elements = 1583 Number of triangles = 496 Number of quadrilatarals = 0 Number of tetrahedrals = 1087 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_boxhole (test_refine.TestREFINE.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = boxhole, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = boxhole, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 960 Number of elements = 5119 Number of triangles = 874 Number of quadrilatarals = 0 Number of tetrahedrals = 4245 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cfdSingleBody (test_refine.TestREFINE.test_cfdSingleBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 17541 Number of elements = 100992 Number of triangles = 5240 Number of quadrilatarals = 0 Number of tetrahedrals = 95752 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Writing SU2 file .... Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Done getting CFD boundary conditions Writing boundary flags - bcProps.surfaceProp[0].surfaceType = 3 - bcProps.surfaceProp[1].surfaceType = 1 Done boundary flags Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Writing SU2 file .... Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Done getting CFD boundary conditions Writing boundary flags - bcProps.surfaceProp[0].surfaceType = 3 - bcProps.surfaceProp[1].surfaceType = 1 Done boundary flags Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Writing SU2 file .... Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Done getting CFD boundary conditions Writing boundary flags - bcProps.surfaceProp[0].surfaceType = 3 - bcProps.surfaceProp[1].surfaceType = 1 Done boundary flags Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " ok test_fun3d (test_refine.TestREFINE.test_fun3d) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 1015 Number of elements = 5450 Number of triangles = 918 Number of quadrilatarals = 0 Number of tetrahedrals = 4532 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_inputs (test_refine.TestREFINE.test_inputs) ... ok test_phase (test_refine.TestREFINE.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 398 Number of elements = 1955 Number of triangles = 612 Number of quadrilatarals = 0 Number of tetrahedrals = 1343 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 ok test_sphere (test_refine.TestREFINE.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = sphere, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = sphere, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 305 Number of elements = 1472 Number of triangles = 468 Number of quadrilatarals = 0 Number of tetrahedrals = 1004 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_inputs (test_su2.TestSU2.test_inputs) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting volume mesh UG PARAM : SETTING INPUT PARAMETERS FROM ARGUMENT VECTOR UG PARAM : mrecm = 3 UG PARAM : mrecqm = 3 UG PARAM : mpfrmt = 0 AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : EGADS CAD Geometry Setup EGADS : Model has 3 Active Bodies EGADS : Body 0 is a SolidBody EGADS : Body 0 has 1 Shells EGADS : Body 0 has 8 Faces EGADS : Body 0 has 15 Edges EGADS : Body 0 has 8 Loops EGADS : Body 0 has 9 Nodes EGADS : Body 1 is a SolidBody EGADS : Body 1 has 1 Shells EGADS : Body 1 has 8 Faces EGADS : Body 1 has 15 Edges EGADS : Body 1 has 8 Loops EGADS : Body 1 has 9 Nodes EGADS : Body 2 is a SolidBody EGADS : Body 2 has 1 Shells EGADS : Body 2 has 2 Faces EGADS : Body 2 has 6 Edges EGADS : Body 2 has 2 Loops EGADS : Body 2 has 2 Nodes EGADS : Check Grid BCs EGADS : Grid BCs are OK EGADS : Face Neighbor-Faces Information EGADS : QC = Face Quad Combination Flag EGADS : IER = Face Isolated Edge Refinement Flag EGADS : ER = Face Edge Refinement Factor EGADS : Not applicable for Faces with a FarField Grid BC. EGADS : SF = Face Scale Factor EGADS : Not applicable for Faces with a FarField Grid BC. EGADS : Face Body QC IER ER Scale Neighbor EGADS : ID Active ID Flag Flag Weight Factor Faces EGADS : 1 Yes 0 0 1 1 1 2 3 4 5 EGADS : 2 Yes 0 0 1 1 1 1 3 4 6 EGADS : 3 Yes 0 0 1 1 1 1 2 4 7 EGADS : 4 Yes 0 0 1 1 1 1 2 3 EGADS : 5 Yes 0 0 1 1 1 1 6 7 8 EGADS : 6 Yes 0 0 1 1 1 2 5 7 8 EGADS : 7 Yes 0 0 1 1 1 3 5 6 8 EGADS : 8 Yes 0 0 1 1 1 5 6 7 EGADS : 9 Yes 1 0 1 0 10 10 11 12 13 EGADS : 10 Yes 1 0 1 0 10 9 11 12 14 EGADS : 11 Yes 1 0 1 0 10 9 10 12 15 EGADS : 12 Yes 1 0 1 0 10 9 10 11 EGADS : 13 Yes 1 0 1 0 10 9 14 15 16 EGADS : 14 Yes 1 0 1 0 10 10 13 15 16 EGADS : 15 Yes 1 0 1 0 10 11 13 14 16 EGADS : 16 Yes 1 0 1 0 10 13 14 15 EGADS : 17 Yes 2 0 1 NA NA 18 EGADS : 18 Yes 2 0 1 NA NA 17 EGADS : Face Edges Information EGADS : Face Edges EGADS : 1 1 4 -3 -2 EGADS : 2 3 7 -6 -5 EGADS : 3 6 9 -1 -8 EGADS : 4 -4 -9 -7 EGADS : 5 -10 2 11 -12 EGADS : 6 -11 5 13 -14 EGADS : 7 -13 8 10 -15 EGADS : 8 12 14 15 EGADS : 9 16 19 -18 -17 EGADS : 10 18 22 -21 -20 EGADS : 11 21 24 -16 -23 EGADS : 12 -19 -24 -22 EGADS : 13 -25 17 26 -27 EGADS : 14 -26 20 28 -29 EGADS : 15 -28 23 25 -30 EGADS : 16 27 29 30 EGADS : 17 -31 -32 33 34 EGADS : 18 -35 -34 36 32 EGADS : Face Loops Information EGADS : Face Loops EGADS : 1 1 EGADS : 2 2 EGADS : 3 3 EGADS : 4 4 EGADS : 5 5 EGADS : 6 6 EGADS : 7 7 EGADS : 8 8 EGADS : 9 9 EGADS : 10 10 EGADS : 11 11 EGADS : 12 12 EGADS : 13 13 EGADS : 14 14 EGADS : 15 15 EGADS : 16 16 EGADS : 17 17 EGADS : 18 18 EGADS : Loop Edges Information EGADS : Loop Active Sense Edges EGADS : 1 Yes 1 1 4 -3 -2 EGADS : 2 Yes 1 3 7 -6 -5 EGADS : 3 Yes 1 6 9 -1 -8 EGADS : 4 Yes 1 -4 -9 -7 EGADS : 5 Yes 1 -10 2 11 -12 EGADS : 6 Yes 1 -11 5 13 -14 EGADS : 7 Yes 1 -13 8 10 -15 EGADS : 8 Yes 1 12 14 15 EGADS : 9 Yes 1 16 19 -18 -17 EGADS : 10 Yes 1 18 22 -21 -20 EGADS : 11 Yes 1 21 24 -16 -23 EGADS : 12 Yes 1 -19 -24 -22 EGADS : 13 Yes 1 -25 17 26 -27 EGADS : 14 Yes 1 -26 20 28 -29 EGADS : 15 Yes 1 -28 23 25 -30 EGADS : 16 Yes 1 27 29 30 EGADS : 17 Yes 1 -31 -32 33 34 EGADS : 18 Yes 1 -35 -34 36 32 EGADS : Edge Node, Scale Factor, and Neighbor-Faces Information EGADS : ESF = Edge Scale Factor EGADS : Not applicable for DEGENERATE Edges or Edges with a FarField EGADS : Grid BC Neighbor Face. EGADS : Neighbor EGADS : Edge Body Active Node1 Node2 ESF Faces EGADS : 1 0 Yes 1 2 1 1 3 EGADS : 2 0 Yes 1 3 1 1 5 EGADS : 3 0 Yes 3 4 1 1 2 EGADS : 4 0 Yes 2 4 1 1 4 EGADS : 5 0 Yes 3 5 1 2 6 EGADS : 6 0 Yes 5 6 1 2 3 EGADS : 7 0 Yes 4 6 1 2 4 EGADS : 8 0 Yes 5 1 1 3 7 EGADS : 9 0 Yes 6 2 1 3 4 EGADS : 10 0 Yes 1 7 1 5 7 EGADS : 11 0 Yes 3 8 1 5 6 EGADS : 12 0 Yes 7 8 1 5 8 EGADS : 13 0 Yes 5 9 1 6 7 EGADS : 14 0 Yes 8 9 1 6 8 EGADS : 15 0 Yes 9 7 1 7 8 EGADS : 16 1 Yes 10 11 1 9 11 EGADS : 17 1 Yes 10 12 1 9 13 EGADS : 18 1 Yes 12 13 1 9 10 EGADS : 19 1 Yes 11 13 1 9 12 EGADS : 20 1 Yes 12 14 1 10 14 EGADS : 21 1 Yes 14 15 1 10 11 EGADS : 22 1 Yes 13 15 1 10 12 EGADS : 23 1 Yes 14 10 1 11 15 EGADS : 24 1 Yes 15 11 1 11 12 EGADS : 25 1 Yes 10 16 1 13 15 EGADS : 26 1 Yes 12 17 1 13 14 EGADS : 27 1 Yes 16 17 1 13 16 EGADS : 28 1 Yes 14 18 1 14 15 EGADS : 29 1 Yes 17 18 1 14 16 EGADS : 30 1 Yes 18 16 1 15 16 EGADS : 31 2 DEGEN 19 19 - 17 EGADS : 32 2 Yes 20 19 NA 17 18 EGADS : 33 2 DEGEN 20 20 - 17 EGADS : 34 2 Yes 20 19 NA 17 18 EGADS : 35 2 DEGEN 19 19 - 18 EGADS : 36 2 DEGEN 20 20 - 18 EGADS : Node Information EGADS : Node Active Coordinates EGADS : 1 Yes 3.77246052 0 0.00459131419 EGADS : 2 Yes 5.90487498 7.07106781 0 EGADS : 3 Yes 0 0 0 EGADS : 4 Yes 4.0824829 7.07106781 0.123425948 EGADS : 5 Yes 3.77001182 0 0 EGADS : 6 Yes 5.90282524 7.07106781 0 EGADS : 7 Yes 5.90487498 0 0 EGADS : 8 Yes 4.0824829 0 0.123425948 EGADS : 9 Yes 5.90282524 0 0 EGADS : 10 Yes 11.5089842 0 0.00183652568 EGADS : 11 Yes 12.36195 2.82842712 0 EGADS : 12 Yes 10 0 0 EGADS : 13 Yes 11.6329932 2.82842712 0.0493703791 EGADS : 14 Yes 11.5080047 0 0 EGADS : 15 Yes 12.3611301 2.82842712 0 EGADS : 16 Yes 12.36195 0 0 EGADS : 17 Yes 11.6329932 0 0.0493703791 EGADS : 18 Yes 12.3611301 0 0 EGADS : 19 Yes 0 0 80 EGADS : 20 Yes 0 0 0 EGADS : Face and Edge Match Information EGADS : No Face Matches Found AFLR4 : Surface Mesh Spacing Setup AFLR4 : Max Bounding Box Length = 160 AFLR4 : Min Bounding Box Length = 160 AFLR4 : Max Ref Bounding Box Length = 14.1421 AFLR4 : Min Ref Bounding Box Length = 0.890888 AFLR4 : Reference Length = 0.890888 AFLR4 : BL Thickness = 0 AFLR4 : FarField Spacing = 15.6122 AFLR4 : Abs Min Surf Spacing = 0.00222722 AFLR4 : Min Surf Spacing = 0.00445444 AFLR4 : Max Surf Spacing = 0.0890888 AFLR4 : Global Scale Factor = 1 AFLR4 : Geometry Definition Information AFLR4 : Definition Definition Composite Grid BC AFLR4 : ID Type ID Type AFLR4 : 0 glue-only composite - - AFLR4 : 1 CAD geometry 0 STD AFLR4 : 2 CAD geometry 0 STD AFLR4 : 3 CAD geometry 0 STD AFLR4 : 4 CAD geometry 0 STD AFLR4 : 5 CAD geometry 0 STD AFLR4 : 6 CAD geometry 0 STD AFLR4 : 7 CAD geometry 0 STD AFLR4 : 8 CAD geometry 0 STD AFLR4 : 9 CAD geometry 0 STD AFLR4 : 10 CAD geometry 0 STD AFLR4 : 11 CAD geometry 0 STD AFLR4 : 12 CAD geometry 0 STD AFLR4 : 13 CAD geometry 0 STD AFLR4 : 14 CAD geometry 0 STD AFLR4 : 15 CAD geometry 0 STD AFLR4 : 16 CAD geometry 0 STD AFLR4 : 17 CAD geometry 0 FARFIELD AFLR4 : 18 CAD geometry 0 FARFIELD AFLR4 : Case has 2 Proximity Checking Components AFLR4 : Component 1 includes Definitions 1 2 3 4 5 6 7 8 AFLR4 : Component 2 includes Definitions 9 10 11 12 13 14 15 16 AFLR4 : Define CAD surface definition 1 AFLR4 : Define CAD surface definition 2 AFLR4 : Define CAD surface definition 3 AFLR4 : Define CAD surface definition 4 AFLR4 : Define CAD surface definition 5 AFLR4 : Define CAD surface definition 6 AFLR4 : Define CAD surface definition 7 AFLR4 : Define CAD surface definition 8 AFLR4 : Define CAD surface definition 9 AFLR4 : Define CAD surface definition 10 AFLR4 : Define CAD surface definition 11 AFLR4 : Define CAD surface definition 12 AFLR4 : Define CAD surface definition 13 AFLR4 : Define CAD surface definition 14 AFLR4 : Define CAD surface definition 15 AFLR4 : Define CAD surface definition 16 AFLR4 : Define CAD surface definition 17 AFLR4 : Define CAD surface definition 18 AFLR43 : INPUT SURFACE MESH FROM TESS AFLR43 : Quad Surface Faces= 0 AFLR43 : Tria Surface Faces= 12406 AFLR43 : Nodes = 6209 UG PARAM : SETTING INPUT PARAMETERS FROM ARGUMENT VECTOR UG PARAM : mrecm = 3 UG PARAM : mrecqm = 3 UG PARAM : mpfrmt = 0 AFLR3 : --------------------------------------- AFLR3 : AFLR3 LIBRARY AFLR3 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR3 : TETRAHEDRAL GRID GENERATOR AFLR3 : Version Number 16.32.49 AFLR3 : Version Date 07/26/23 @ 12:32AM AFLR3 : Compile OS Darwin 22.5.0 x86_64 AFLR3 : Compile Date 07/26/23 @ 01:42PM AFLR3 : Copyright 1994-2021, D.L. Marcum AFLR3 : --------------------------------------- AFLR3 IC : INPUT SURFACE GRID CHECK AFLR3 IC : Nodes, Elements = 6209 0 UG3 : Boundary Conditions UG3 : B-Face ID Boundary Condition UG3 : 1 Solid UG3 : 2 Solid UG3 : 3 Solid UG3 : 4 Solid UG3 : 5 Solid UG3 : 6 Solid UG3 : 7 Solid UG3 : 8 Solid UG3 : 9 Solid UG3 : 10 Solid UG3 : 11 Solid UG3 : 12 Solid UG3 : 13 Solid UG3 : 14 Solid UG3 : 15 Solid UG3 : 16 Solid UG3 : 17 FarField UG3 : 18 FarField AFLR3 : CPU Time = 0.017 seconds AFLR3 IG : INITIAL VOLUME GRID GENERATION AFLR3 IG : Nodes, Elements = 6209 0 AFLR3 IG : Nodes, Elements = 8 10 AFLR3 IG : Nodes, Elements = 1244 7653 AFLR3 IG : Nodes, Elements = 2488 16237 AFLR3 IG : Nodes, Elements = 3732 24929 AFLR3 IG : Nodes, Elements = 4976 33940 AFLR3 IG : Nodes, Elements = 6216 42984 AFLR3 IG : Nodes, Elements = 6216 42932 AFLR3 IG : Nodes, Elements = 6216 42936 AFLR3 IG : Nodes, Elements = 6216 42931 AFLR3 IG : Nodes, Elements = 6216 42936 AFLR3 IG : Nodes, Elements = 6216 42928 AFLR3 IG : Nodes, Elements = 6216 42929 AFLR3 IG : Nodes, Elements = 6209 25549 AFLR3 IG : Nodes, Elements = 6209 25566 AFLR3 IG : Nodes, Elements = 6209 20457 AFLR3 IG : Nodes, Elements = 6209 18370 AFLR3 : CPU Time = 0.256 seconds AFLR3 GG : ISOTROPIC VOLUME GRID GENERATION AFLR3 GG : Nodes, Elements = 6209 18370 AFLR3 GG : Nodes, Elements = 9083 27216 AFLR3 GG : Nodes, Elements = 9083 39129 AFLR3 GG : Nodes, Elements = 9083 36299 AFLR3 GG : Nodes, Elements = 9083 34787 AFLR3 GG : Nodes, Elements = 11687 42926 AFLR3 GG : Nodes, Elements = 11687 54107 AFLR3 GG : Nodes, Elements = 11687 50912 AFLR3 GG : Nodes, Elements = 11687 49950 AFLR3 GG : Nodes, Elements = 14459 58534 AFLR3 GG : Nodes, Elements = 14459 70598 AFLR3 GG : Nodes, Elements = 14459 67246 AFLR3 GG : Nodes, Elements = 14459 66445 AFLR3 GG : Nodes, Elements = 17390 75436 AFLR3 GG : Nodes, Elements = 17390 88100 AFLR3 GG : Nodes, Elements = 17390 84689 AFLR3 GG : Nodes, Elements = 17390 83831 AFLR3 GG : Nodes, Elements = 20561 93518 AFLR3 GG : Nodes, Elements = 20561 106956 AFLR3 GG : Nodes, Elements = 20561 103464 AFLR3 GG : Nodes, Elements = 20561 102605 AFLR3 GG : Nodes, Elements = 23901 112791 AFLR3 GG : Nodes, Elements = 23901 126928 AFLR3 GG : Nodes, Elements = 23901 123203 AFLR3 GG : Nodes, Elements = 23901 122366 AFLR3 GG : Nodes, Elements = 27314 132747 AFLR3 GG : Nodes, Elements = 27314 147193 AFLR3 GG : Nodes, Elements = 27314 143350 AFLR3 GG : Nodes, Elements = 27314 142550 AFLR3 GG : Nodes, Elements = 30859 153312 AFLR3 GG : Nodes, Elements = 30859 168311 AFLR3 GG : Nodes, Elements = 30859 164409 AFLR3 GG : Nodes, Elements = 30859 163606 AFLR3 GG : Nodes, Elements = 34446 174469 AFLR3 GG : Nodes, Elements = 34446 189518 AFLR3 GG : Nodes, Elements = 34446 185603 AFLR3 GG : Nodes, Elements = 34446 184796 AFLR3 GG : Nodes, Elements = 38030 195632 AFLR3 GG : Nodes, Elements = 38030 210636 AFLR3 GG : Nodes, Elements = 38030 206840 AFLR3 GG : Nodes, Elements = 38030 206098 AFLR3 GG : Nodes, Elements = 41514 216606 AFLR3 GG : Nodes, Elements = 41514 231337 AFLR3 GG : Nodes, Elements = 41514 227569 AFLR3 GG : Nodes, Elements = 41514 226816 AFLR3 GG : Nodes, Elements = 44978 237248 AFLR3 GG : Nodes, Elements = 44978 251924 AFLR3 GG : Nodes, Elements = 44978 248091 AFLR3 GG : Nodes, Elements = 44978 247412 AFLR3 GG : Nodes, Elements = 48303 257424 AFLR3 GG : Nodes, Elements = 48303 271465 AFLR3 GG : Nodes, Elements = 48303 267845 AFLR3 GG : Nodes, Elements = 48303 267239 AFLR3 GG : Nodes, Elements = 51495 276839 AFLR3 GG : Nodes, Elements = 51495 290288 AFLR3 GG : Nodes, Elements = 51495 286801 AFLR3 GG : Nodes, Elements = 51495 286238 AFLR3 GG : Nodes, Elements = 54566 295468 AFLR3 GG : Nodes, Elements = 54566 308318 AFLR3 GG : Nodes, Elements = 54566 305103 AFLR3 GG : Nodes, Elements = 54566 304607 AFLR3 GG : Nodes, Elements = 57407 313138 AFLR3 GG : Nodes, Elements = 57407 325082 AFLR3 GG : Nodes, Elements = 57407 322052 AFLR3 GG : Nodes, Elements = 57407 321624 AFLR3 GG : Nodes, Elements = 60033 329508 AFLR3 GG : Nodes, Elements = 60033 340522 AFLR3 GG : Nodes, Elements = 60033 337740 AFLR3 GG : Nodes, Elements = 60033 337327 AFLR3 GG : Nodes, Elements = 62385 344387 AFLR3 GG : Nodes, Elements = 62385 354178 AFLR3 GG : Nodes, Elements = 62385 351770 AFLR3 GG : Nodes, Elements = 62385 351406 AFLR3 GG : Nodes, Elements = 64423 357521 AFLR3 GG : Nodes, Elements = 64423 366066 AFLR3 GG : Nodes, Elements = 64423 363902 AFLR3 GG : Nodes, Elements = 64423 363640 AFLR3 GG : Nodes, Elements = 66152 368828 AFLR3 GG : Nodes, Elements = 66152 376096 AFLR3 GG : Nodes, Elements = 66152 374169 AFLR3 GG : Nodes, Elements = 66152 373954 AFLR3 GG : Nodes, Elements = 67502 378007 AFLR3 GG : Nodes, Elements = 67502 383819 AFLR3 GG : Nodes, Elements = 67502 382205 AFLR3 GG : Nodes, Elements = 67502 382037 AFLR3 GG : Nodes, Elements = 68453 384892 AFLR3 GG : Nodes, Elements = 68453 388938 AFLR3 GG : Nodes, Elements = 68453 387786 AFLR3 GG : Nodes, Elements = 68453 387696 AFLR3 GG : Nodes, Elements = 69095 389624 AFLR3 GG : Nodes, Elements = 69095 392359 AFLR3 GG : Nodes, Elements = 69095 391593 AFLR3 GG : Nodes, Elements = 69095 391521 AFLR3 GG : Nodes, Elements = 69433 392535 AFLR3 GG : Nodes, Elements = 69433 393990 AFLR3 GG : Nodes, Elements = 69433 393564 AFLR3 GG : Nodes, Elements = 69433 393530 AFLR3 GG : Nodes, Elements = 69586 393989 AFLR3 GG : Nodes, Elements = 69586 394640 AFLR3 GG : Nodes, Elements = 69586 394434 AFLR3 GG : Nodes, Elements = 69586 394423 AFLR3 GG : Nodes, Elements = 69642 394591 AFLR3 GG : Nodes, Elements = 69642 394832 AFLR3 GG : Nodes, Elements = 69642 394746 AFLR3 GG : Nodes, Elements = 69642 394743 AFLR3 GG : Nodes, Elements = 69655 394782 AFLR3 GG : Nodes, Elements = 69655 394832 AFLR3 GG : Nodes, Elements = 69655 394819 AFLR3 GG : Nodes, Elements = 69655 394818 AFLR3 GG : Nodes, Elements = 69657 394824 AFLR3 GG : Nodes, Elements = 69657 394829 AFLR3 GG : Nodes, Elements = 69657 394828 AFLR3 GG : Nodes, Elements = 69657 394829 AFLR3 GG : Nodes, Elements = 69677 394889 AFLR3 GG : Nodes, Elements = 69677 394955 AFLR3 GG : Nodes, Elements = 69677 394942 AFLR3 GG : Nodes, Elements = 69677 394943 AFLR3 GG : Nodes, Elements = 69687 394973 AFLR3 GG : Nodes, Elements = 69687 395009 AFLR3 GG : Nodes, Elements = 69687 395000 AFLR3 GG : Nodes, Elements = 69687 395002 AFLR3 GG : Nodes, Elements = 69691 395014 AFLR3 GG : Nodes, Elements = 69691 395030 AFLR3 GG : Nodes, Elements = 69691 395025 AFLR3 GG : Nodes, Elements = 69691 395026 AFLR3 GG : Nodes, Elements = 69692 395029 AFLR3 GG : Nodes, Elements = 69692 395034 AFLR3 GG : Nodes, Elements = 69692 395032 AFLR3 : CPU Time = 4.902 seconds AFLR3 QI : QUALITY IMPROVEMENT AFLR3 QI : Nodes, Elements = 69692 395032 AFLR3 QI : Nodes, Elements = 68269 387028 AFLR3 QI : Nodes, Elements = 68069 385895 AFLR3 QI : Nodes, Elements = 68051 385787 AFLR3 QI : Nodes, Elements = 68049 385776 AFLR3 QI : Nodes, Elements = 68049 389538 AFLR3 QI : Nodes, Elements = 68049 385544 AFLR3 QI : Nodes, Elements = 68049 385168 AFLR3 QI : Nodes, Elements = 68049 387753 AFLR3 QI : Nodes, Elements = 68049 385223 AFLR3 QI : Nodes, Elements = 68049 385141 AFLR3 QI : Nodes, Elements = 68049 385767 AFLR3 QI : Nodes, Elements = 68049 384976 AFLR3 QI : Nodes, Elements = 68049 384826 AFLR3 QI : Nodes, Elements = 68049 385189 AFLR3 QI : Nodes, Elements = 68049 384786 AFLR3 QI : Nodes, Elements = 68049 384692 AFLR3 QI : Nodes, Elements = 68049 384987 AFLR3 QI : Nodes, Elements = 68049 384685 AFLR3 QI : Nodes, Elements = 68049 384630 AFLR3 QI : Nodes, Elements = 68053 384648 AFLR3 QI : Nodes, Elements = 68053 384951 AFLR3 QI : Nodes, Elements = 68053 384661 AFLR3 QI : Nodes, Elements = 68053 384646 AFLR3 QI : Nodes, Elements = 68053 384908 AFLR3 QI : Nodes, Elements = 68053 384645 AFLR3 QI : Nodes, Elements = 68053 384606 AFLR3 : CPU Time = 1.778 seconds AFLR3 QRG: QUALITY GRID RE-GENERATION AFLR3 QRG: Nodes, Elements = 68053 384606 AFLR3 QRG: Nodes, Elements = 68057 384626 AFLR3 QRG: Nodes, Elements = 68063 384656 AFLR3 : CPU Time = 0.060 seconds AFLR3 : DONE UG3 : DIHEDRAL ANGLE CHECK UG3 : No. Tet Elems = 384656 UG3 : Min, Max Ang = 0.7661 175.6 UG3 : Average Angle = 70.2 UG3 : No. Angle>160.0 = 48 UG3 : No. Angle>179.9 = 0 UG3 : VOL CHECK UG3 : Total-Volume = 2.11e+06 UG3 : No. Tet Elems = 384656 UG3 : Min Vol = 6.55e-10 UG3 : Average Vol = 5.48 UG3 : Total-Tet-Vol = 2.11e+06 UG3 : No. Vol160.0 = 48 UG3 : No. Angle>179.9 = 0 UG3 : VOL CHECK UG3 : Total-Volume = 2.11e+06 UG3 : No. Tet Elems = 384656 UG3 : Min Vol = 6.55e-10 UG3 : Average Vol = 5.48 UG3 : Total-Tet-Vol = 2.11e+06 UG3 : No. Vol Edge #9 (9) Internally in Loop 4 7, sen = -1! 70000CE87000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 70000CE87000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! Getting surface mesh for body 7 (of 7) Body 1 (of 7) Number of nodes = 98 Number of elements = 192 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 192 Number of quadrilateral elements = 0 Body 2 (of 7) Number of nodes = 297 Number of elements = 590 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 590 Number of quadrilateral elements = 0 Body 3 (of 7) Number of nodes = 487 Number of elements = 970 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 970 Number of quadrilateral elements = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 4 (of 7) Number of nodes = 2048 Number of elements = 4096 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 4096 Number of quadrilateral elements = 0 Body 5 (of 7) Number of nodes = 152 Number of elements = 300 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 300 Number of quadrilateral elements = 0 Body 6 (of 7) Number of nodes = 624 Number of elements = 1248 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1248 Number of quadrilateral elements = 0 Body 7 (of 7) Number of nodes = 488 Number of elements = 972 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 972 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 4194 Total number of elements = 8368 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 1 number of surface: nodes - 98, elements - 192 Body 2 number of surface: nodes - 297, elements - 590 Body 3 number of surface: nodes - 487, elements - 970 Body 4 number of surface: nodes - 2048, elements - 4096 Body 5 number of surface: nodes - 152, elements - 300 Body 6 number of surface: nodes - 624, elements - 1248 Body 7 number of surface: nodes - 488, elements - 972 Getting volume mesh for body 1 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.002774 Creating surface mesh ... Surface mesh seconds: 0.000175 Recovering boundaries... Boundary recovery seconds: 0.001017 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 5e-05 Recovering Delaunayness... Delaunay recovery seconds: 0.000975 Refining mesh... Refinement seconds: 0.000473 Smoothing vertices... Mesh smoothing seconds: 0.005892 Improving mesh... Mesh improvement seconds: 0.000101 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 4.4e-05 Total running seconds: 0.01156 Statistics: Input points: 98 Input facets: 192 Input segments: 288 Input holes: 0 Input regions: 0 Mesh points: 100 Mesh tetrahedra: 232 Mesh faces: 560 Mesh faces on exterior boundary: 192 Mesh faces on input facets: 192 Mesh edges on input segments: 288 Steiner points inside domain: 2 Done meshing using TetGen! Getting volume mesh for body 2 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cylinder, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.014707 Creating surface mesh ... Surface mesh seconds: 0.000388 Recovering boundaries... Boundary recovery seconds: 0.002352 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000309 Recovering Delaunayness... Delaunay recovery seconds: 0.004275 Refining mesh... Refinement seconds: 0.018128 Smoothing vertices... Mesh smoothing seconds: 0.020317 Improving mesh... Mesh improvement seconds: 0.000366 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000117 Total running seconds: 0.061031 Statistics: Input points: 297 Input facets: 590 Input segments: 885 Input holes: 0 Input regions: 0 Mesh points: 347 Mesh tetrahedra: 1044 Mesh faces: 2383 Mesh faces on exterior boundary: 590 Mesh faces on input facets: 590 Mesh edges on input segments: 885 Steiner points inside domain: 50 Done meshing using TetGen! Getting volume mesh for body 3 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cone, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.061749 Creating surface mesh ... Surface mesh seconds: 0.000644 Recovering boundaries... Boundary recovery seconds: 0.006885 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000824 Recovering Delaunayness... Delaunay recovery seconds: 0.016282 Refining mesh... 649 insertions, added 165 points, 7218 tetrahedra in queue. 216 insertions, added 8 points, 5722 tetrahedra in queue. 288 insertions, added 12 points, 3275 tetrahedra in queue. 383 insertions, added 10 points, 312 tetrahedra in queue. 511 insertions, added 11 points, 94 tetrahedra in queue. Refinement seconds: 0.160608 Smoothing vertices... Mesh smoothing seconds: 0.057288 Improving mesh... Mesh improvement seconds: 0.001024 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000232 Total running seconds: 0.305631 Statistics: Input points: 487 Input facets: 970 Input segments: 1455 Input holes: 0 Input regions: 0 Mesh points: 695 Mesh tetrahedra: 2810 Mesh faces: 6105 Mesh faces on exterior boundary: 970 Mesh faces on input facets: 970 Mesh edges on input segments: 1455 Steiner points inside domain: 208 Done meshing using TetGen! Getting volume mesh for body 4 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = torus, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.462523 Creating surface mesh ... Surface mesh seconds: 0.0035 Recovering boundaries... Boundary recovery seconds: 0.01255 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.004179 Recovering Delaunayness... Delaunay recovery seconds: 0.135767 Refining mesh... Refinement seconds: 0.342437 Smoothing vertices... Mesh smoothing seconds: 0.260253 Improving mesh... Mesh improvement seconds: 0.004725 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.001139 Total running seconds: 1.22722 Statistics: Input points: 2048 Input facets: 4096 Input segments: 6144 Input holes: 0 Input regions: 0 Mesh points: 2895 Mesh tetrahedra: 11267 Mesh faces: 24582 Mesh faces on exterior boundary: 4096 Mesh faces on input facets: 4096 Mesh edges on input segments: 6144 Steiner points inside domain: 847 Done meshing using TetGen! Getting volume mesh for body 5 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = sphere, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.000428 Creating surface mesh ... Surface mesh seconds: 0.000234 Recovering boundaries... Boundary recovery seconds: 0.000404 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.00014 Recovering Delaunayness... Delaunay recovery seconds: 0.000628 Refining mesh... 202 insertions, added 46 points, 0 tetrahedra in queue. Refinement seconds: 0.004585 Smoothing vertices... Mesh smoothing seconds: 0.003048 Improving mesh... Mesh improvement seconds: 0.000218 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000136 Total running seconds: 0.009888 Statistics: Input points: 152 Input facets: 300 Input segments: 450 Input holes: 0 Input regions: 0 Mesh points: 198 Mesh tetrahedra: 672 Mesh faces: 1494 Mesh faces on exterior boundary: 300 Mesh faces on input facets: 300 Mesh edges on input segments: 450 Steiner points inside domain: 46 Done meshing using TetGen! Getting volume mesh for body 6 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = boxhole, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.053045 Creating surface mesh ... Surface mesh seconds: 0.000747 Recovering boundaries... Boundary recovery seconds: 0.005007 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.00089 Recovering Delaunayness... Delaunay recovery seconds: 0.007683 Refining mesh... 831 insertions, added 225 points, 6035 tetrahedra in queue. Refinement seconds: 0.075451 Smoothing vertices... Mesh smoothing seconds: 0.059857 Improving mesh... Mesh improvement seconds: 0.001237 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000234 Total running seconds: 0.204246 Statistics: Input points: 624 Input facets: 1248 Input segments: 1872 Input holes: 0 Input regions: 0 Mesh points: 856 Mesh tetrahedra: 3198 Mesh faces: 7020 Mesh faces on exterior boundary: 1248 Mesh faces on input facets: 1248 Mesh edges on input segments: 1872 Steiner points inside domain: 232 Done meshing using TetGen! Getting volume mesh for body 7 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = bullet, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.046062 Creating surface mesh ... Surface mesh seconds: 0.001397 Recovering boundaries... Boundary recovery seconds: 0.004714 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000452 Recovering Delaunayness... Delaunay recovery seconds: 0.036505 Refining mesh... Refinement seconds: 0.052739 Smoothing vertices... Mesh smoothing seconds: 0.03144 Improving mesh... Mesh improvement seconds: 0.000938 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000181 Total running seconds: 0.174507 Statistics: Input points: 488 Input facets: 972 Input segments: 1458 Input holes: 0 Input regions: 0 Mesh points: 676 Mesh tetrahedra: 2529 Mesh faces: 5544 Mesh faces on exterior boundary: 972 Mesh faces on input facets: 972 Mesh edges on input segments: 1458 Steiner points inside domain: 188 Done meshing using TetGen! Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 1 number of surface: nodes - 98, elements - 192 Body 2 number of surface: nodes - 297, elements - 590 Body 3 number of surface: nodes - 487, elements - 970 Body 4 number of surface: nodes - 2048, elements - 4096 Body 5 number of surface: nodes - 152, elements - 300 Body 6 number of surface: nodes - 624, elements - 1248 Body 7 number of surface: nodes - 488, elements - 972 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.002859 Creating surface mesh ... Surface mesh seconds: 0.00013 Recovering boundaries... Boundary recovery seconds: 0.001026 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 7.4e-05 Recovering Delaunayness... Delaunay recovery seconds: 0.001016 Refining mesh... Refinement seconds: 0.000425 Smoothing vertices... Mesh smoothing seconds: 0.002953 Improving mesh... Mesh improvement seconds: 9.1e-05 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 5.1e-05 Total running seconds: 0.008684 Statistics: Input points: 98 Input facets: 192 Input segments: 288 Input holes: 0 Input regions: 1 Mesh points: 100 Mesh tetrahedra: 232 Mesh faces: 560 Mesh faces on exterior boundary: 192 Mesh faces on input facets: 192 Mesh edges on input segments: 288 Steiner points inside domain: 2 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.01407 Creating surface mesh ... Surface mesh seconds: 0.000501 Recovering boundaries... Boundary recovery seconds: 0.002211 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000304 Recovering Delaunayness... Delaunay recovery seconds: 0.004251 Refining mesh... Refinement seconds: 0.018362 Smoothing vertices... Mesh smoothing seconds: 0.020458 Improving mesh... Mesh improvement seconds: 0.000368 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000105 Total running seconds: 0.0607 Statistics: Input points: 297 Input facets: 590 Input segments: 885 Input holes: 0 Input regions: 2 Mesh points: 347 Mesh tetrahedra: 1044 Mesh faces: 2383 Mesh faces on exterior boundary: 590 Mesh faces on input facets: 590 Mesh edges on input segments: 885 Steiner points inside domain: 50 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.063763 Creating surface mesh ... Surface mesh seconds: 0.000714 Recovering boundaries... Boundary recovery seconds: 0.00708 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000715 Recovering Delaunayness... Delaunay recovery seconds: 0.016672 Refining mesh... 649 insertions, added 164 points, 7317 tetrahedra in queue. 216 insertions, added 10 points, 6108 tetrahedra in queue. 288 insertions, added 9 points, 3288 tetrahedra in queue. 383 insertions, added 12 points, 283 tetrahedra in queue. 511 insertions, added 12 points, 75 tetrahedra in queue. Refinement seconds: 0.155051 Smoothing vertices... Mesh smoothing seconds: 0.057171 Improving mesh... Mesh improvement seconds: 0.00108 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000209 Total running seconds: 0.30254 Statistics: Input points: 487 Input facets: 970 Input segments: 1455 Input holes: 0 Input regions: 3 Mesh points: 695 Mesh tetrahedra: 2796 Mesh faces: 6077 Mesh faces on exterior boundary: 970 Mesh faces on input facets: 970 Mesh edges on input segments: 1455 Steiner points inside domain: 208 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.462292 Creating surface mesh ... Surface mesh seconds: 0.003008 Recovering boundaries... Boundary recovery seconds: 0.011104 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.003707 Recovering Delaunayness... Delaunay recovery seconds: 0.139895 Refining mesh... Refinement seconds: 0.34798 Smoothing vertices... Mesh smoothing seconds: 0.256931 Improving mesh... Mesh improvement seconds: 0.004842 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.001397 Total running seconds: 1.23129 Statistics: Input points: 2048 Input facets: 4096 Input segments: 6144 Input holes: 0 Input regions: 4 Mesh points: 2905 Mesh tetrahedra: 11306 Mesh faces: 24660 Mesh faces on exterior boundary: 4096 Mesh faces on input facets: 4096 Mesh edges on input segments: 6144 Steiner points inside domain: 857 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.000376 Creating surface mesh ... Surface mesh seconds: 0.000194 Recovering boundaries... Boundary recovery seconds: 0.000317 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000215 Recovering Delaunayness... Delaunay recovery seconds: 0.000659 Refining mesh... 202 insertions, added 46 points, 0 tetrahedra in queue. Refinement seconds: 0.004429 Smoothing vertices... Mesh smoothing seconds: 0.002987 Improving mesh... Mesh improvement seconds: 0.000224 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 7.4e-05 Total running seconds: 0.009524 Statistics: Input points: 152 Input facets: 300 Input segments: 450 Input holes: 0 Input regions: 5 Mesh points: 198 Mesh tetrahedra: 672 Mesh faces: 1494 Mesh faces on exterior boundary: 300 Mesh faces on input facets: 300 Mesh edges on input segments: 450 Steiner points inside domain: 46 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.053783 Creating surface mesh ... Surface mesh seconds: 0.004152 Recovering boundaries... Boundary recovery seconds: 0.005027 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Warning: The 5-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000788 Recovering Delaunayness... Delaunay recovery seconds: 0.007587 Refining mesh... 831 insertions, added 225 points, 6035 tetrahedra in queue. Refinement seconds: 0.079523 Smoothing vertices... Mesh smoothing seconds: 0.06269 Improving mesh... Mesh improvement seconds: 0.001267 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000242 Total running seconds: 0.215168 Statistics: Input points: 624 Input facets: 1248 Input segments: 1872 Input holes: 0 Input regions: 6 Mesh points: 856 Mesh tetrahedra: 3198 Mesh faces: 7020 Mesh faces on exterior boundary: 1248 Mesh faces on input facets: 1248 Mesh edges on input segments: 1872 Steiner points inside domain: 232 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.046525 Creating surface mesh ... Surface mesh seconds: 0.001029 Recovering boundaries... Boundary recovery seconds: 0.004633 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Warning: The 5-th region point lies outside the convex hull. Warning: The 6-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000469 Recovering Delaunayness... Delaunay recovery seconds: 0.036682 Refining mesh... Refinement seconds: 0.048741 Smoothing vertices... Mesh smoothing seconds: 0.030519 Improving mesh... Mesh improvement seconds: 0.001873 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000206 Total running seconds: 0.17075 Statistics: Input points: 488 Input facets: 972 Input segments: 1458 Input holes: 0 Input regions: 7 Mesh points: 676 Mesh tetrahedra: 2544 Mesh faces: 5574 Mesh faces on exterior boundary: 972 Mesh faces on input facets: 972 Mesh edges on input segments: 1458 Steiner points inside domain: 188 Done meshing using TetGen! Writing AFLR3 file .... Finished writing AFLR3 file ok test_journal (test_tetgen.TestTETGEN.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 ok test_phase (test_tetgen.TestTETGEN.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 7161, elements - 14314 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_reenter (test_tetgen.TestTETGEN.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 1) Body 1 (of 1) Number of nodes = 9605 Number of elements = 19202 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 19202 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 9605 Total number of elements = 19202 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_setInput (test_tetgen.TestTETGEN.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_Cl (test_xfoil.Testxfoil_Kulfan.test_Cl) ... ok test_alpha_custom_increment (test_xfoil.Testxfoil_Kulfan.test_alpha_custom_increment) ... ok test_CL_uniform_increment (test_xfoil.Testxfoil_NACA.test_CL_uniform_increment) ... ok test_Cl (test_xfoil.Testxfoil_NACA.test_Cl) ... ok test_alpha_custom_increment (test_xfoil.Testxfoil_NACA.test_alpha_custom_increment) ... ok test_alpha_uniform_inrement (test_xfoil.Testxfoil_NACA.test_alpha_uniform_inrement) ... ok test_append (test_xfoil.Testxfoil_NACA.test_append) ... ok test_normalize (test_xfoil.Testxfoil_NACA.test_normalize) ... ok test_phase (test_xfoil.Testxfoil_NACA.test_phase) ... ok ---------------------------------------------------------------------- Ran 137 tests in 2210.667s OK (skipped=10) + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/ + make test TYPE=MINIMAL (make -C cCAPS -f aeroelastic_SU2.make) make[1]: `aeroelasticSimple_Iterative_SU2_and_MystranTest' is up to date. (make -C cCAPS -f avlTest.make) make[1]: `avlTest' is up to date. (make -C cCAPS -f awaveTest.make) make[1]: `awaveTest' is up to date. (make -C cCAPS -f frictionTest.make) make[1]: `frictionTest' is up to date. (make -C cCAPS -f fun3d.make) make[1]: Nothing to be done for `fun3d'. (make -C cCAPS -f hsm.make) make[1]: `hsm' is up to date. (make -C cCAPS -f interferenceTest.make) make[1]: `interferenceTest' is up to date. (make -C cCAPS -f msesTest.make) make[1]: `msesTest' is up to date. (make -C cCAPS -f mystran.make) make[1]: `mystranTest' is up to date. (make -C cCAPS -f pointwiseTest.make) make[1]: `pointwiseTest' is up to date. (cd ./regressionTest; ./execute_CTestRegression.sh MINIMAL) Running.... MINIMAL c-Tests All tests pass! ================================================= ================================================= (cd ./regressionTest; ./execute_PyTestRegression.sh MINIMAL) ================================================= Using python : /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/ESP_venv/bin/python ================================================= Running.... MINIMAL PyTests ================================================= + echo 'avl_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt avl_PyTest.py test; + python -u avl_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing, index = 1 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Writing surface - Wing (ID = 0) Section 1 of 3 (ID = 0) Section 2 of 3 (ID = 1) Section 3 of 3 (ID = 2) CXtot 0.0006119078685747781 CYtot -6.297054052850572e-15 CZtot -0.301286139488597 Cltot -4.785942150399569e-14 Cmtot -0.1945055953450375 Cntot -2.337286621027519e-15 Cl'tot -4.789292355835892e-14 Cn'tot -1.501668565013235e-15 CLtot 0.3012509314150652 CDtot 0.004646353488232536 CDvis 0.0 CLff 0.3009503023520406 CYff -7.725269974775701e-15 CDind 0.004646353488232536 CDff 0.004968969500907968 e 0.9669902146157809 StripForces Wing cl = [0.02994790451347, 0.08555545178933, 0.1329003532587, 0.1733025192695, 0.2100182422833, 0.2453427152547, 0.2792746996365, 0.310122128419, 0.3355632628223, 0.3531618776075, 0.3603457261533, 0.3542128805664, 0.3542128805661, 0.3603457261533, 0.3531618776088, 0.3355632628252, 0.3101221284236, 0.2792746996427, 0.2453427152623, 0.2100182422922, 0.17330251928, 0.1329003532723, 0.08555545180787, 0.0299479045408] Wing cd = [-0.004234137763162, -0.004494543653191, -0.003752887372724, -0.002739626801633, -0.001762021024326, -0.0007386538946408, 0.0005114598078391, 0.0021191472861, 0.004145189908974, 0.006609094404181, 0.009540018987595, 0.01315518814708, 0.01315518814718, 0.009540018987792, 0.006609094404439, 0.00414518990926, 0.002119147286384, 0.0005114598080994, -0.0007386538944075, -0.001762021024096, -0.002739626801367, -0.003752887372445, -0.004494543653161, -0.004234137764544] real 0m5.110s user 0m0.722s sys 0m0.608s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case avl_PyTest.py passed (as expected) ================================================= ================================================= + echo 'xfoil_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt xfoil_PyTest.py test; + python -u xfoil_PyTest.py -outLevel=0 -noPlotData + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Cl = [1.05475230552582, 1.36488869373661, 1.5660721427212, 2.03059709333553, 1.9727108312101, 1.87307153172578, 1.7605047576016] Cd = [0.0131991208675814, 0.0166540240605919, 0.0200551482562995, 0.0458671342053759, 0.0754256009396578, 0.0994469174476091, 0.12747943098905] Alpha = [0.0, 3.0, 5.0, 11.0, 13.0, 14.0, 15.0] Transition location = [0.496044114417512, 0.46137773204127, 0.442376568201482, 0.361251636517606, 0.27610414085319, 0.214381239319572, 0.132988264746184] real 0m9.103s user 0m4.764s sys 0m0.259s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case xfoil_PyTest.py passed (as expected) ================================================= ================================================= + echo 'mses_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt mses_PyTest.py test; + python -u mses_PyTest.py -outLevel=0 -noPlotData + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Cl = 0.3783793240300122 Cd = 0.007775858044231098 Cm = 0.005262576090314894 real 0m6.397s user 0m3.354s sys 0m0.343s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case mses_PyTest.py passed (as expected) ================================================= ================================================= + echo 'friction_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt friction_PyTest.py test; + python -u friction_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Setting Mach & Altitude Values Lifting Surface: Body = 1, units m XLE: 1.571946 -3.872983 0.249728 XTE: 2.536563 -3.872983 0.335335 Chord: 0.968408 Arc: 1.985181 T/C: 0.155975 Type: Wing Lifting Surface: Body = 2, units m XLE: 0.000000 0.000000 0.000000 XTE: 1.614012 0.000000 0.002015 Chord: 1.614013 Arc: 3.308635 T/C: 0.127839 Type: Wing Lifting Surface: Body = 3, units m XLE: 1.571946 3.872983 0.249728 XTE: 2.536563 3.872983 0.335335 Chord: 0.968408 Arc: 1.985181 T/C: 0.155975 Type: Wing Lifting Surface: Body = 4, units m XLE: 5.484123 0.000000 1.468246 XTE: 6.210307 0.000915 1.468246 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: VTail Lifting Surface: Body = 5, units m XLE: 5.000000 0.000000 0.500000 XTE: 6.210307 0.001525 0.500000 Chord: 1.210308 Arc: 2.471195 T/C: 0.120035 Type: VTail Lifting Surface: Body = 6, units m XLE: 5.484123 -0.968246 0.500000 XTE: 6.210307 -0.968246 0.500915 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: HTail Lifting Surface: Body = 7, units m XLE: 5.484123 0.968246 0.500000 XTE: 6.210307 0.968246 0.500915 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: HTail Body of Revolution: Body = 8, units m Arc: 0.000000 Diameter: 0.000000 Type: Fuse Body of Revolution: Body = 9, units m Arc: 3.714180 Diameter: 1.020621 Type: Fuse Body of Revolution: Body = 10, units m Arc: 2.771209 Diameter: 0.645497 Type: Fuse Body of Revolution: Body = 11, units m Arc: 1.013945 Diameter: 0.322749 Type: Fuse Body of Revolution: Body = 12, units m Arc: 0.101394 Diameter: 0.032275 Type: Fuse Number of sections 4, number of revolution sections 1 Number of Mach-Altitude cases = 2 Total drag = [0.0132, 0.01226] Form drag = [0.0033, 0.00307] Friction drag = [0.0099, 0.00919] real 0m3.032s user 0m0.584s sys 0m0.271s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case friction_PyTest.py passed (as expected) ================================================= ================================================= + echo 'tsfoil_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt tsfoil_PyTest.py test; + python -u tsfoil_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Getting results PAUSE Press the ENTER key to exit To resume execution, type go. Other input will terminate the job. Cl = 0.933417 Cd = 0.000284 Cd Wave = 0.0 Cm = -0.203436 Cp _Critcal = -1.303869 real 0m1.510s user 0m0.586s sys 0m0.225s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case tsfoil_PyTest.py passed (as expected) ================================================= ================================================= + echo 'delaundo_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt delaundo_PyTest.py test; + python -u delaundo_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 6 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Name = AirfoilTE, index = 6 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 6 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Name = AirfoilTE, index = 6 Getting mesh sizing parameters Mesh sizing name - Airfoil Mesh sizing name - AirfoilTE Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting edge discretization for body 1 Delaundo expects 2D meshes be in the x-y plane... attempting to rotate mesh through node swapping! Swapping z and y coordinates! Writing delaundo control file - delaundo.ctr Writing out *.pts file Reading delaundo mesh file - delaundoMesh.mesh Swapping y and z coordinates! Writing TECPLOT file: delaundoMesh.dat .... Finished writing TECPLOT file real 0m2.776s user 0m0.884s sys 0m0.542s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case delaundo_PyTest.py passed (as expected) ================================================= ================================================= + echo 'egadsTess_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt egadsTess_PyTest.py test; + python -u egadsTess_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = Ribs, index = 1 Name = Skin, index = 2 Name = Spar1, index = 3 Name = Rib_Root, index = 4 Name = Spar2, index = 5 Name = Rib_Root_Point, index = 6 Name = Wing, index = 7 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 2 Name = LeadingEdge, index = 1 Name = TrailingEdge, index = 2 Getting mesh sizing parameters Mesh sizing name - LeadingEdge Done getting mesh sizing parameters Getting surface mesh for body 1 (of 4) Getting surface mesh for body 2 (of 4) Getting surface mesh for body 3 (of 4) Getting surface mesh for body 4 (of 4) Body 1 (of 4) Number of nodes = 3793 Number of elements = 4001 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 4001 Body 2 (of 4) Number of nodes = 1 Number of elements = 1 Number of node elements = 1 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 3 (of 4) Number of nodes = 76 Number of elements = 55 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 55 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 4 (of 4) Number of nodes = 100 Number of elements = 73 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 73 ---------------------------- Total number of nodes = 3970 Total number of elements = 4130 Writing TECPLOT file: egadsTessMesh_Surf_0.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_1.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_2.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_3.dat .... Finished writing TECPLOT file real 0m8.728s user 0m17.073s sys 0m4.054s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case egadsTess_PyTest.py passed (as expected) ================================================= ================================================= + echo 'aflr2_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt aflr2_PyTest.py test; + python -u aflr2_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.015 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.008 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_AFLR2_Test.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! real 0m4.951s user 0m0.924s sys 0m0.531s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case aflr2_PyTest.py passed (as expected) ================================================= ================================================= + echo 'aflr4_and_aflr3_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt aflr4_and_aflr3_PyTest.py test; + python -u aflr4_and_aflr3_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Writing TECPLOT file: pyCAPS_AFLR4_AFLR3.dat .... Finished writing TECPLOT file Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Wing1 Mesh sizing name - Wing2 Done getting mesh sizing parameters Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.7.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 151209 Number of elements = 455692 Number of triangles = 14380 Number of quadrilatarals = 0 Number of tetrahedrals = 220260 Number of pyramids = 425 Number of prisms = 220627 Number of hexahedrals = 0 Writing TECPLOT file: pyCAPS_AFLR4_AFLR3_VolMesh.dat .... Finished writing TECPLOT file real 0m32.995s user 0m15.112s sys 0m0.727s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case aflr4_and_aflr3_PyTest.py passed (as expected) ================================================= ================================================= + echo 'tetgen_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt tetgen_PyTest.py test; + python -u tetgen_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 2236 Number of elements = 4468 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 4468 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 636 Number of elements = 1268 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1268 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 265 Number of elements = 526 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 526 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 3137 Total number of elements = 6262 Writing TECPLOT file: egadsTessMesh_Surf_0.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_1.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_2.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 3137, elements - 6262 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.026563 Creating surface mesh ... Surface mesh seconds: 0.006257 Recovering boundaries... Boundary recovery seconds: 0.059765 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.007765 Suppressing Steiner points ... Steiner suppression seconds: 2e-05 Recovering Delaunayness... Delaunay recovery seconds: 0.012396 Refining mesh... 4188 insertions, added 2185 points, 134452 tetrahedra in queue. 1394 insertions, added 466 points, 139158 tetrahedra in queue. 1858 insertions, added 424 points, 135152 tetrahedra in queue. 2477 insertions, added 444 points, 115259 tetrahedra in queue. 3302 insertions, added 499 points, 69620 tetrahedra in queue. 4401 insertions, added 357 points, 954 tetrahedra in queue. Refinement seconds: 0.818141 Smoothing vertices... Mesh smoothing seconds: 0.548893 Improving mesh... Mesh improvement seconds: 0.012273 Jettisoning redundant points. Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.002846 Total running seconds: 1.49535 Statistics: Input points: 3137 Input facets: 6262 Input segments: 9393 Input holes: 2 Input regions: 0 Mesh points: 7994 Mesh tetrahedra: 39231 Mesh faces: 81593 Mesh faces on exterior boundary: 6262 Mesh faces on input facets: 6262 Mesh edges on input segments: 9393 Steiner points inside domain: 4857 Done meshing using TetGen! Writing TECPLOT file: pyCAPS_Tetgen_Test.dat .... Binary output is not currently supported for Tecplot output ..... switching to ASCII! Finished writing TECPLOT file real 0m4.047s user 0m3.038s sys 0m0.468s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case tetgen_PyTest.py passed (as expected) ================================================= ================================================= + echo 'su2_and_AFLR2_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt su2_and_AFLR2_PyTest.py test; + python -u su2_and_AFLR2_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Saving geometry Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 545 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 545 545 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 110 222 AFLR2 IG : Nodes, Faces = 220 442 AFLR2 IG : Nodes, Faces = 330 662 AFLR2 IG : Nodes, Faces = 440 882 AFLR2 IG : Nodes, Faces = 517 1036 AFLR2 IG : Nodes, Faces = 545 1092 AFLR2 IG : Nodes, Faces = 549 1092 AFLR2 IG : Nodes, Faces = 545 545 AFLR2 : CPU Time = 0.002 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 545 545 AFLR2 GGp: Nodes, Faces = 1083 1621 AFLR2 GGp: Nodes, Faces = 1616 2687 AFLR2 GGp: Nodes, Faces = 2144 3743 AFLR2 GGp: Nodes, Faces = 2661 4777 AFLR2 GGp: Nodes, Faces = 3171 5797 AFLR2 GGp: Nodes, Faces = 3672 6799 AFLR2 GGp: Nodes, Faces = 4158 7771 AFLR2 GGp: Nodes, Faces = 4653 8761 AFLR2 GGp: Nodes, Faces = 5142 9739 AFLR2 GGp: Nodes, Faces = 5608 10671 AFLR2 GGp: Nodes, Faces = 6055 11565 AFLR2 GGp: Nodes, Faces = 6482 12419 AFLR2 GGp: Nodes, Faces = 6880 13215 AFLR2 GGp: Nodes, Faces = 7257 13969 AFLR2 GGp: Nodes, Faces = 7614 14683 AFLR2 GGp: Nodes, Faces = 7947 15349 AFLR2 GGp: Nodes, Faces = 8276 16007 AFLR2 GGp: Nodes, Faces = 8575 16605 AFLR2 GGp: Nodes, Faces = 8869 17193 AFLR2 GGp: Nodes, Faces = 9140 17735 AFLR2 GGp: Nodes, Faces = 9401 18257 AFLR2 GGp: Nodes, Faces = 9654 18763 AFLR2 GGp: Nodes, Faces = 9887 19229 AFLR2 GGp: Nodes, Faces = 10110 19675 AFLR2 GGp: Nodes, Faces = 10315 20085 AFLR2 GGp: Nodes, Faces = 10497 20449 AFLR2 GGp: Nodes, Faces = 10656 20767 AFLR2 GGp: Nodes, Faces = 10791 21037 AFLR2 GGp: Nodes, Faces = 10919 21293 AFLR2 GGp: Nodes, Faces = 11022 21499 AFLR2 GGp: Nodes, Faces = 11092 21639 AFLR2 GGp: Nodes, Faces = 11145 21745 AFLR2 GGp: Nodes, Faces = 11156 21767 AFLR2 : CPU Time = 0.047 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 11156 21767 AFLR2 QI : Nodes, Faces = 11155 819 AFLR2 QI : Nodes, Faces = 11155 10473 AFLR2 QI : Nodes, Faces = 11155 21765 AFLR2 QI : Nodes, Faces = 11154 811 AFLR2 QI : Nodes, Faces = 11154 10476 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11154 805 AFLR2 QI : Nodes, Faces = 11154 10479 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11154 911 AFLR2 QI : Nodes, Faces = 11154 10426 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11153 915 AFLR2 QI : Nodes, Faces = 11153 10423 AFLR2 QI : Nodes, Faces = 11153 917 AFLR2 QI : Nodes, Faces = 11153 10423 AFLR2 : CPU Time = 0.024 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 11153 Number of elements = 11885 Number of tris = 917 Number of quad = 10423 Writing TECPLOT file: pyCAPS_su2_aflr2.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! Writing SU2 file .... SU2 expects 2D meshes be in the x-y plane... attempting to rotate mesh! Swapping z and y coordinates! Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Airfoil Boundary condition name - InFlow Boundary condition name - OutFlow Boundary condition name - TunnelWall Done getting CFD boundary conditions Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Running SU2...... ------------------------------------------------------------------------- | ___ _ _ ___ | | / __| | | |_ ) Release 8.0.0 "Harrier" | | \__ \ |_| |/ / | | |___/\___//___| Suite (Computational Fluid Dynamics Code) | | | ------------------------------------------------------------------------- | SU2 Project Website: https://su2code.github.io | | | | The SU2 Project is maintained by the SU2 Foundation | | (http://su2foundation.org) | ------------------------------------------------------------------------- | Copyright 2012-2023, SU2 Contributors | | | | SU2 is free software; you can redistribute it and/or | | modify it under the terms of the GNU Lesser General Public | | License as published by the Free Software Foundation; either | | version 2.1 of the License, or (at your option) any later version. | | | | SU2 is distributed in the hope that it will be useful, | | but WITHOUT ANY WARRANTY; without even the implied warranty of | | MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU | | Lesser General Public License for more details. | | | | You should have received a copy of the GNU Lesser General Public | | License along with SU2. If not, see . | ------------------------------------------------------------------------- Parsing config file for zone 0 ----------------- Physical Case Definition ( Zone 0 ) ------------------- Compressible Euler equations. Mach number: 0.4. Angle of attack (AoA): 0 deg, and angle of sideslip (AoS): 0 deg. No restart solution, use the values at infinity (freestream). Dimensional simulation. The reference area is 1 m^2. The semi-span will be computed using the max y(3D) value. The reference length is 1 m. Reference origin for moment evaluation is (0, 0, 0). Surface(s) where the force coefficients are evaluated: BC_5. Surface(s) plotted in the output file: BC_5, BC_2. Input mesh file name: ../aflr2/aflr2.su2 --------------- Space Numerical Integration ( Zone 0 ) ------------------ Roe (with entropy fix = 0.001) solver for the flow inviscid terms. Standard Roe without low-dissipation function. Second order integration in space, with slope limiter. Venkatakrishnan slope-limiting method, with constant: 0.05. The reference element size is: 1. Gradient for upwind reconstruction: inverse-distance weighted Least-Squares. Gradient for viscous and source terms: inverse-distance weighted Least-Squares. --------------- Time Numerical Integration ( Zone 0 ) ------------------ Local time stepping (steady state simulation). Euler implicit method for the flow equations. FGMRES is used for solving the linear system. Using a ILU(0) preconditioning. Convergence criteria of the linear solver: 1e-06. Max number of linear iterations: 10. V Multigrid Cycle, with 2 multigrid levels. Damping factor for the residual restriction: 0.75. Damping factor for the correction prolongation: 0.75. No CFL adaptation. +-------------------------------------------+ | MG Level| Presmooth|PostSmooth|CorrectSmo| +-------------------------------------------+ | 0| 1| 0| 0| | 1| 2| 0| 0| | 2| 3| 0| 0| +-------------------------------------------+ Courant-Friedrichs-Lewy number: 10 ------------------ Convergence Criteria ( Zone 0 ) --------------------- Maximum number of solver subiterations: 10. Begin convergence monitoring at iteration 5. Residual minimum value: 1e-14. Cauchy series min. value: 1e-10. Number of Cauchy elements: 100. Begin windowed time average at iteration 0. -------------------- Output Information ( Zone 0 ) ---------------------- File writing frequency: +------------------------------------+ | File| Frequency| +------------------------------------+ | RESTART| 250| | SURFACE_CSV| 250| | TECPLOT| 250| | SURFACE_TECPLOT| 250| +------------------------------------+ Writing the convergence history file every 1 inner iterations. Writing the screen convergence history every 1 inner iterations. The tabular file format is CSV (.csv). Convergence history file name: history. Forces breakdown file name: forces_breakdown_pyCAPS_su2_aflr2.dat. Surface file name: surface_flow_pyCAPS_su2_aflr2. Volume file name: flow_pyCAPS_su2_aflr2. Restart file name: restart_flow_pyCAPS_su2_aflr2.dat. ------------- Config File Boundary Information ( Zone 0 ) --------------- +-----------------------------------------------------------------------+ | Marker Type| Marker Name| +-----------------------------------------------------------------------+ | Euler wall| BC_5| | | BC_2| +-----------------------------------------------------------------------+ | Inlet boundary| BC_4| +-----------------------------------------------------------------------+ | Outlet boundary| BC_3| +-----------------------------------------------------------------------+ -------------------- Output Preprocessing ( Zone 0 ) -------------------- WARNING: SURFACE_PRESSURE_DROP can only be computed for at least 2 surfaces (outlet, inlet, ...) Screen output fields: TIME_ITER, OUTER_ITER, INNER_ITER, CUR_TIME, TIME_STEP, WALL_TIME, RMS_DENSITY, RMS_MOMENTUM-X, RMS_MOMENTUM-Y, RMS_ENERGY, EFFICIENCY History output group(s): ITER, TIME_DOMAIN, WALL_TIME, RMS_RES, AERO_COEFF Convergence field(s): RMS_DENSITY Warning: No (valid) fields chosen for time convergence monitoring. Time convergence monitoring inactive. Volume output fields: COORDINATES, SOLUTION, PRIMITIVE ------------------- Geometry Preprocessing ( Zone 0 ) ------------------- Two dimensional problem. 11153 grid points. 11340 volume elements. 4 surface markers. 98 boundary elements in index 0 (Marker = BC_2). 24 boundary elements in index 1 (Marker = BC_3). 24 boundary elements in index 2 (Marker = BC_4). 399 boundary elements in index 3 (Marker = BC_5). 917 triangles. 10423 quadrilaterals. Setting point connectivity. Renumbering points (Reverse Cuthill McKee Ordering). Recomputing point connectivity. Setting element connectivity. Checking the numerical grid orientation. There has been a re-orientation of 917 TRIANGLE volume elements. There has been a re-orientation of 10423 QUADRILATERAL volume elements. There has been a re-orientation of 73 LINE surface elements. Identifying edges and vertices. Setting the control volume structure. Area of the computational grid: 999.878. Searching for the closest normal neighbors to the surfaces. Storing a mapping from global to local point index. Compute the surface curvature. Max K: 385.665. Mean K: 2.15881. Standard deviation K: 17.7215. Checking for periodicity. Computing mesh quality statistics for the dual control volumes. +--------------------------------------------------------------+ | Mesh Quality Metric| Minimum| Maximum| +--------------------------------------------------------------+ | Orthogonality Angle (deg.)| 49.3184| 90| | CV Face Area Aspect Ratio| 1.00035| 33.4982| | CV Sub-Volume Ratio| 1.00001| 7.89914| +--------------------------------------------------------------+ Setting the multigrid structure. +-------------------------------------------+ | MG Level| CVs|Aggl. Rate| CFL| +-------------------------------------------+ | 0| 11153| 1/1.00| 10| | 1| 2702| 1/4.13| 7.38309| | 2| 549| 1/4.92| 4.99198| +-------------------------------------------+ Finding max control volume width. Wetted area = 2.06265 m. Area projection in the x-plane = 0.211192 m, y-plane = 0.997011 m. Max. coordinate in the x-direction = 1 m, y-direction = 0.102037 m. Min. coordinate in the x-direction = 0.000323229 m, y-direction = -0.108492 m. Checking if Euler & Symmetry markers are straight/plane: Boundary marker BC_5 is NOT a single straight. Boundary marker BC_2 is NOT a single straight. Computing wall distances. -------------------- Solver Preprocessing ( Zone 0 ) -------------------- Inviscid flow: Computing density based on free-stream temperature and pressure using the ideal gas law. Force coefficients computed using free-stream values. -- Models: +------------------------------------------------------------------------------+ | Viscosity Model| Conductivity Model| Fluid Model| +------------------------------------------------------------------------------+ | -| -| STANDARD_AIR| +------------------------------------------------------------------------------+ -- Fluid properties: +------------------------------------------------------------------------------+ | Name| Dim. value| Ref. value| Unit|Non-dim. value| +------------------------------------------------------------------------------+ | Gas Constant| 287.058| 1| N.m/kg.K| 287.058| | Spec. Heat Ratio| -| -| -| 1.4| +------------------------------------------------------------------------------+ -- Initial and free-stream conditions: +------------------------------------------------------------------------------+ | Name| Dim. value| Ref. value| Unit|Non-dim. value| +------------------------------------------------------------------------------+ | Static Pressure| 101325| 1| Pa| 101325| | Density| 1.22498| 1| kg/m^3| 1.22498| | Temperature| 288.15| 1| K| 288.15| | Total Energy| 216054| 1| m^2/s^2| 216054| | Velocity-X| 136.119| 1| m/s| 136.119| | Velocity-Y| 0| 1| m/s| 0| | Velocity Magnitude| 136.119| 1| m/s| 136.119| +------------------------------------------------------------------------------+ | Mach Number| -| -| -| 0.4| +------------------------------------------------------------------------------+ Initialize Jacobian structure (Euler). MG level: 0. Initialize Jacobian structure (Euler). MG level: 1. Initialize Jacobian structure (Euler). MG level: 2. ------------------- Numerics Preprocessing ( Zone 0 ) ------------------- ----------------- Integration Preprocessing ( Zone 0 ) ------------------ ------------------- Iteration Preprocessing ( Zone 0 ) ------------------ Euler/Navier-Stokes/RANS fluid iteration. ------------------------------ Begin Solver ----------------------------- Simulation Run using the Single-zone Driver WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations +----------------------------------------------------------------------------------------------------------------------------------------------+ | Time_Iter| Outer_Iter| Inner_Iter| Cur_Time| Time_Step| Time(sec)| rms[Rho]| rms[RhoU]| rms[RhoV]| rms[RhoE]| CEff| +----------------------------------------------------------------------------------------------------------------------------------------------+ | 0| 0| 0| 0.0000e+00| 0.0000e+00| 9.3348e-02| 0.071067| 2.739763| 1.616077| 5.599701| 0.055267| | 0| 0| 1| 0.0000e+00| 0.0000e+00| 9.8766e-02| -0.447576| 2.193837| 1.571349| 5.070382| 0.046028| | 0| 0| 2| 0.0000e+00| 0.0000e+00| 1.0064e-01| -0.561159| 2.074597| 1.490746| 4.953698| 0.033910| | 0| 0| 3| 0.0000e+00| 0.0000e+00| 1.0059e-01| -0.723326| 1.921155| 1.390756| 4.790278| 0.049695| | 0| 0| 4| 0.0000e+00| 0.0000e+00| 1.0125e-01| -0.876572| 1.825653| 1.275093| 4.637161| 0.133976| | 0| 0| 5| 0.0000e+00| 0.0000e+00| 1.0096e-01| -1.029177| 1.759196| 1.156078| 4.482282| 0.368542| | 0| 0| 6| 0.0000e+00| 0.0000e+00| 1.0176e-01| -1.215334| 1.704909| 1.114966| 4.286060| 0.974759| | 0| 0| 7| 0.0000e+00| 0.0000e+00| 1.0210e-01| -1.329970| 1.644937| 1.145030| 4.116982| 2.716332| | 0| 0| 8| 0.0000e+00| 0.0000e+00| 1.0243e-01| -1.270672| 1.570739| 1.155400| 4.139480| 16.569216| | 0| 0| 9| 0.0000e+00| 0.0000e+00| 1.0236e-01| -1.221243| 1.499646| 1.130319| 4.189559| -6.277653| ----------------------------- Solver Exit ------------------------------- Maximum number of iterations reached (ITER = 10) before convergence. +-----------------------------------------------------------------------+ | Convergence Field | Value | Criterion | Converged | +-----------------------------------------------------------------------+ | rms[Rho]| -1.22124| < -14| No| +-----------------------------------------------------------------------+ ------------------------------------------------------------------------- +-----------------------------------------------------------------------+ | File Writing Summary | Filename | +-----------------------------------------------------------------------+ |SU2 binary restart |restart_flow_pyCAPS_su2_aflr2.dat | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |CSV file |surface_flow_pyCAPS_su2_aflr2.csv | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |Tecplot binary |flow_pyCAPS_su2_aflr2.szplt | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |Tecplot binary surface |surface_flow_pyCAPS_su2_aflr2.szplt| Error in tecFileWriterClose: Not all node map values for zone 1 have been written. Currently need 994 more values.0: Error finishing Tecplot file output. Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). +-----------------------------------------------------------------------+ --------------------------- Finalizing Solver --------------------------- Deleted CNumerics container. Deleted CIntegration container. Deleted CSolver container. Deleted CIteration container. Deleted CInterface container. Deleted CGeometry container. Deleted CFreeFormDefBox class. Deleted CSurfaceMovement class. Deleted CVolumetricMovement class. Deleted CConfig container. Deleted nInst container. Deleted COutput class. ------------------------------------------------------------------------- ------------------------- Exit Success (SU2_CFD) ------------------------ Total Force - Pressure + Viscous Cl = 0.072253 Cd = -0.01151 Cmz = 0.070975 Cx = -0.01151 Cy = 0.072253 Pressure Contribution Cl_p = 0.072253 Cd_p = -0.01151 Cmz_p = 0.070975 Cx_p = -0.01151 Cy_p = 0.072253 Viscous Contribution Cl_v = 0.0 Cd_v = 0.0 Cmz_v = 0.0 Cx_v = 0.0 Cy_v = 0.0 real 0m3.843s user 0m2.675s sys 0m0.979s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case su2_and_AFLR2_PyTest.py passed (as expected) ================================================= ./execute_PyTestRegression.sh: line 198: ulimit: stack size: cannot modify limit: Operation not permitted ================================================= + echo 'cart3d_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt cart3d_PyTest.py test; + python -u cart3d_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing, index = 1 Body size = 3.796888 Tessellating body 1 with MaxEdge = 0.094922 Sag = 0.003797 Angle = 15.000000 Executing: autoInputs -r 30.000000 -nDiv 6 -maxR 9 > autoInputs.out Executing: ./aero.csh > aero.out C_A 0.023693377 C_Y -0.0012129281 C_N 0.065395002 C_D 0.025961197 C_S -0.0012129281 C_L 0.064528279 C_l 0.0510971 C_m 0.000248187 C_n -0.017525 C_M_x 0.0504544 C_M_y 0.000248187 C_M_z -0.0192976 real 0m29.997s user 0m36.639s sys 0m1.001s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case cart3d_PyTest.py passed (as expected) ================================================= ================================================= + echo 'astros_ThreeBar_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt astros_ThreeBar_PyTest.py test; + python -u astros_ThreeBar_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt WARNING:: WireBody is non-manifold Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = boundary, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = force, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = bar1, index = 1 Name = bar2, index = 2 Name = bar3, index = 3 capsIgnore attribute found for edge - 3!! capsIgnore attribute found. Removing unneeded nodes and elements from mesh! Removing unused nodes... Removed 0 (out of 4) unused nodes! Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 4 Number of elements = 3 Elemental Nodes = 0 Elemental Rods = 3 Elemental Tria3 = 0 Elemental Quad4 = 0 Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 3 Property name - bar1 Property name - bar2 Property name - bar3 Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - BoundaryCondition No "constraintType" specified for Constraint tuple BoundaryCondition, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedForce Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Getting FEA analyses....... Number of analyses - 1 Analysis name - SingleLoadCase Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards real 0m14.678s user 0m0.578s sys 0m0.352s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case astros_ThreeBar_PyTest.py passed (as expected) ================================================= ================================================= + echo 'astros_Flutter_15degree.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt astros_Flutter_15degree.py test; + python -u astros_Flutter_15degree.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Root_Point, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = Root_Point, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = WingSurface, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 1 Name = Root, index = 1 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Edge, index = 1 Name = Body, index = 2 Name = Root, index = 3 Name = Wing, index = 4 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 96 Number of elements = 77 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 77 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 97 Combined Number of elements = 78 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 77 Getting vortex lattice surface data VLM surface name - WingSurface Done getting vortex lattice surface data Getting FEA vortex lattice mesh Surface 1: Number of points found for aero-spline = 96 (Re-)Combining all aerodynamic surfaces into a 'Wing', 'Canard', and/or 'Fin' single surfaces ! Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - aluminum No "materialType" specified for Material tuple aluminum, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Body Property name - Edge Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - PointConstraint No "constraintType" specified for Constraint tuple PointConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Getting FEA connections....... Number of connection tuples - 1 Connection name - Root No "groupName" specified for Connection tuple Root! Looking for automatic connections from the use of capsConnectLink for Root 8 automatic connections were made for capsConnect Root (node id 97) Done getting FEA connections Load tuple is NULL - No loads applied Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Getting FEA analyses....... Number of analyses - 1 Analysis name - Flutter Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing connection cards - appending mesh file Writing Astros instruction file.... Writing aero card Writing analysis cards Writing constraint cards--each subcase individually Writing material cards Writing property cards Writing unsteady aeroelastic cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL real 0m6.446s user 0m0.820s sys 0m0.460s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case astros_Flutter_15degree.py passed (as expected) ================================================= ================================================= + echo 'masstran_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt masstran_PyTest.py test; + python -u masstran_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Getting results mass properties..... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Ribs_and_Spars, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Getting surface mesh for body 1 (of 1) Body 1 (of 1) Number of nodes = 1159 Number of elements = 798 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 798 ---------------------------- Total number of nodes = 1159 Total number of elements = 798 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Rib_Constraint, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Ribs_and_Spars, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1159 Number of elements = 798 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 798 Getting FEA materials....... Number of materials - 2 Material name - Madeupium Material name - Unobtainium No "materialType" specified for Material tuple Unobtainium, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - Ribs_and_Spars No "material" specified for Property tuple Ribs_and_Spars, defaulting to an index of 1 Done getting FEA properties Updating mesh element types based on properties input Area 3.428666259747 Mass 5383.006027803 Centroid [1.222256036874, 0.1634981473778, -4.234377369776e-11] CG [1.222256036874, 0.1634981473778, -4.234490614246e-11] Ixx 22133.97306033 Iyy 23448.30046709 Izz 1389.213122582 Ixy 164.2055275657 Ixz -7.454850140458e-06 Iyz 1.707682988152e-06 I [22133.97306033, 23448.30046709, 1389.213122582, 164.2055275657, -7.454850140458e-06, 1.707682988152e-06] II [[22133.97306033, -164.2055275657, 7.454850140458e-06], [-164.2055275657, 23448.30046709, -1.707682988152e-06], [7.454850140458e-06, -1.707682988152e-06, 1389.213122582]] real 0m15.825s user 0m10.682s sys 0m6.603s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case masstran_PyTest.py passed (as expected) ================================================= ================================================= + echo 'hsm_SingleLoadCase_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt hsm_SingleLoadCase_PyTest.py test; + python -u hsm_SingleLoadCase_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = plateEdge, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = plate, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Creating HSM BEM Getting quads for BEM! Number of nodal coordinates = 81 Number of elements = 96 Elemental Tria3 = 0 Elemental Quad4 = 64 Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Writing Nastran grid and connectivity file (in small field format) .... Finished writing Nastran grid file Max Adjacency set to = 9 MaxDim = 32, numBCEdge = 0, numBCNode = 32, numJoint = 0 NEED TO ADD MORE CODE TO hsm_setGlobalParameter NEED TO ADD MORE CODE TO hsm_setNodeBCParameter NumBCNode = 32 ->HSMSOL Matrix Non-zero Entries = 5741 Converging HSM equation system ... iter dr dd dp rlx max 1 0.130E-01 0.165E+00 0.153E-04 1.000 ddy @ ( 0.25 0.06 0.00) 1* 0.260E-03 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.12 0.00) 1* 0.240E-05 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.09 0.00) 2 0.379E-02 0.505E-01 0.750E-04 1.000 ddy @ ( 0.25 0.19 0.00) 2* 0.420E-04 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.12 0.00) 3 0.168E-02 0.225E-01 0.208E-04 1.000 ddy @ ( 0.25 0.06 0.00) 4 0.325E-03 0.427E-02 0.250E-05 1.000 ddy @ ( 0.25 0.19 0.00) 5 0.794E-05 0.112E-03 0.170E-06 1.000 ddy @ ( 0.25 0.19 0.00) 6 0.387E-08 0.598E-07 0.197E-09 1.000 ddy @ ( 0.25 0.06 0.00) 7 0.848E-15 0.147E-13 0.924E-15 1.000 ddy @ ( 0.25 0.19 0.00) Converging n vectors ... it = 1 dn = 0.788E-01 rlx = 1.000 ( 59 ) it = 2 dn = 0.566E-16 rlx = 1.000 ( 35 ) Converging e1,e2 vectors ... it = 1 de = 0.691E-03 rlx = 1.000 ( 45 ) it = 2 de = 0.404E-04 rlx = 1.000 ( 9 ) it = 3 de = 0.142E-07 rlx = 1.000 ( 46 ) it = 4 de = 0.521E-11 rlx = 1.000 ( 62 ) Calculating strains, stress resultants ... Writing HSM solution to Tecplot File - HSMSingleLoadPlate.dat real 0m8.498s user 0m0.817s sys 0m0.207s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case hsm_SingleLoadCase_PyTest.py passed (as expected) ================================================= ================================================= + echo '../corsairlite/qp.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt ../corsairlite/qp.py test; + python -u ../corsairlite/qp.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Objective --------- -21.56250 dimensionless Variables --------- x : 1.62500 [-] The X variable y : 1.37500 [-] The Y variable Constants --------- c : 3 [-] A constant c2 : 2 [-] A constant Sensitivities ------------- c : + 0.46957 A constant c2 : - 0.22338 A constant Solve Report ------------ Solve Method : Quadratic Program Classification : Convex Solver : cvxopt real 2m10.043s user 0m1.990s sys 0m0.336s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case ../corsairlite/qp.py passed (as expected) ================================================= ************************************************* ************************************************* ================================================= Did not run examples for: abaqus awave Mystran ================================================= All tests pass! ================================================= ================================================= + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64 + mkdir -p /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/test/plugs + cd /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/test/plugs + find /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs -name 'plugs0*.csm' + sort -f + awk '{ n=split($0, a, ";"); for(i=1; i<=n; i+=2) print a[i] }' /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP/data/plugs/plugsFailure.txt + cp /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/JenkinsESP/data/plugs/plugsIgnore.txt . + set +x Testing CSM files: data/Plugs/plugs0a.csm data/Plugs/plugs0b.csm data/Plugs/plugs0c.csm data/Plugs/plugs0d.csm total 50320 -rw-r--r-- 1 jenkins staff 1.2M Apr 3 11:19 ASTRO.D01 -rw-r--r-- 1 jenkins staff 277K Apr 3 11:19 ASTRO.IDX -rwxr-xr-x 1 jenkins staff 145K Apr 3 11:20 ESPxddm -rwxr-xr-x 1 jenkins staff 226K Apr 3 11:19 Slugs -rwxr-xr-x 1 jenkins staff 101K Apr 3 11:19 TestFit -rwxr-xr-x 1 jenkins staff 1.8M Apr 3 11:19 avl -rwxr-xr-x 1 jenkins staff 34K Apr 3 11:20 cart3dTest -rwxr-xr-x 1 jenkins staff 34K Apr 3 11:17 egads2cart -rwxr-xr-x 1 jenkins staff 85K Apr 3 11:20 egads2cgt -rwxr-xr-x 1 jenkins staff 50K Apr 3 11:20 friction -rwxr-xr-x 1 jenkins staff 8.9M Apr 3 11:19 mastros.exe -rwxr-xr-x 1 jenkins staff 2.2M Apr 3 11:19 mses -rwxr-xr-x 1 jenkins staff 2.5M Apr 3 11:19 mset -rwxr-xr-x 1 jenkins staff 34K Apr 3 11:19 phaseUtil -rw-r--r-- 1 jenkins staff 1.6K Apr 3 11:23 pod.egads -rw-r--r-- 1 jenkins staff 0B Apr 3 11:23 port7681.jrnl -rwxr-xr-x 1 jenkins staff 1.2M Apr 3 11:19 pplot -rwxr-xr-x 1 jenkins staff 1.2M Apr 3 11:19 pxplot -rwxr-xr-x 1 jenkins staff 84K Apr 3 11:18 sensCSM -rwxr-xr-x 1 jenkins staff 222K Apr 3 11:18 serveCSM -rwxr-xr-x 1 jenkins staff 190K Apr 3 11:18 serveESP -rwxr-xr-x 1 jenkins staff 51K Apr 3 11:17 vCurvature -rwxr-xr-x 1 jenkins staff 51K Apr 3 11:17 vGeom -rwxr-xr-x 1 jenkins staff 36K Apr 3 11:17 vTess -rwxr-xr-x 1 jenkins staff 1.9M Apr 3 11:17 vTesstatic -rw-r--r-- 1 jenkins staff 195K Apr 3 11:23 wingMultiModel.bdf -rwxr-xr-x 1 jenkins staff 1.8M Apr 3 11:19 xfoil ( 1 / 4 ) data/Plugs/plugs0a.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0a.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/verify_7.7.0/plugs0a.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 converged, dmax= 5.416e-07, rmsbest= 6.132e-04, reclass= 4562, unclass= 0 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 5.366e-07, rmsbest= 7.097e-04, reclass= 2, unclass= 0 Starting pass 3 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m26.520s user 0m8.072s sys 0m0.593s ++ set +x data/Plugs/plugs0a passed (as expected) -------------------------------------------------------------------------------------------------- ( 2 / 4 ) data/Plugs/plugs0b.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0b.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/verify_7.7.0/plugs0b.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 converged, dmax= 3.039e-07, rmsbest= 4.036e-02, reclass= 4562, unclass= 0 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 2.814e-07, rmsbest= 1.924e-03, reclass= 1033, unclass= 0 Starting pass 3 (of 25) of phase2 Pass 3 converged, dmax= 4.494e-07, rmsbest= 5.920e-04, reclass= 473, unclass= 0 Starting pass 4 (of 25) of phase2 Pass 4 converged, dmax= 5.366e-07, rmsbest= 7.097e-04, reclass= 17, unclass= 0 Starting pass 5 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m9.131s user 0m11.232s sys 0m0.109s ++ set +x data/Plugs/plugs0b passed (as expected) -------------------------------------------------------------------------------------------------- ( 3 / 4 ) data/Plugs/plugs0c.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0c.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/verify_7.7.0/plugs0c.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 ran out of iterations, rmsbest= 1.677e-01, reclass= 4562, unclass= 554 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 4.016e-07, rmsbest= 6.330e-02, reclass= 1676, unclass= 0 Starting pass 3 (of 25) of phase2 Pass 3 converged, dmax= 1.881e-07, rmsbest= 8.736e-03, reclass= 791, unclass= 0 Starting pass 4 (of 25) of phase2 Pass 4 converged, dmax= 3.081e-07, rmsbest= 1.037e-03, reclass= 428, unclass= 0 Starting pass 5 (of 25) of phase2 Pass 5 converged, dmax= 5.816e-07, rmsbest= 7.097e-04, reclass= 109, unclass= 0 Starting pass 6 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m37.694s user 0m39.879s sys 0m0.279s ++ set +x data/Plugs/plugs0c passed (as expected) -------------------------------------------------------------------------------------------------- ( 4 / 4 ) data/Plugs/plugs0d.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0d.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/verify_7.7.0/plugs0d.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 ran out of iterations, rmsbest= 1.677e-01, reclass= 4562, unclass= 554 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 4.016e-07, rmsbest= 6.330e-02, reclass= 1676, unclass= 0 Starting pass 3 (of 25) of phase2 Pass 3 converged, dmax= 1.881e-07, rmsbest= 8.736e-03, reclass= 791, unclass= 0 Starting pass 4 (of 25) of phase2 Pass 4 converged, dmax= 3.081e-07, rmsbest= 1.037e-03, reclass= 428, unclass= 0 Starting pass 5 (of 25) of phase2 Pass 5 converged, dmax= 5.816e-07, rmsbest= 7.097e-04, reclass= 109, unclass= 0 Starting pass 6 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m43.634s user 0m43.996s sys 0m0.292s ++ set +x data/Plugs/plugs0d passed (as expected) -------------------------------------------------------------------------------------------------- [Execution node] check if [macys] is in [[viggen]] Run condition [Execution node ] preventing perform for step [Execute shell] [Execution node] check if [macys] is in [[windows10x64]] Run condition [Execution node ] preventing perform for step [Execute Windows batch command] [GNU C Compiler (gcc)] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64') [GNU C Compiler (gcc)] Successfully parsed console log [GNU C Compiler (gcc)] -> found 0 issues (skipped 0 duplicates) [GNU C Compiler (gcc)] Skipping post processing [GNU C Compiler (gcc)] No filter has been set, publishing all 0 issues [GNU C Compiler (gcc)] Repository miner is not configured, skipping repository mining [Clang] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64') [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64') [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Successfully parsed console log [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64') [Clang] Skipping post processing [Clang] No filter has been set, publishing all 0 issues [Clang] Repository miner is not configured, skipping repository mining [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64') [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Skipping post processing [Static Analysis] No filter has been set, publishing all 0 issues [Static Analysis] Repository miner is not configured, skipping repository mining [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.7/DARWIN64') [Static Analysis] Skipping post processing [Static Analysis] No filter has been set, publishing all 0 issues [Static Analysis] Repository miner is not configured, skipping repository mining [Static Analysis] Reference build recorder is not configured [Static Analysis] No valid reference build found [Static Analysis] All reported issues will be considered outstanding [Static Analysis] No quality gates have been set - skipping [Static Analysis] Health report is disabled - skipping [Static Analysis] Created analysis result for 0 issues (found 0 new issues, fixed 0 issues) [Static Analysis] Attaching ResultAction with ID 'analysis' to build 'ESP_Beta/CASREV=7.7,ESP_ARCH=DARWIN64,buildnode=macys #701'. [Checks API] No suitable checks publisher found. [WS-CLEANUP] Deleting project workspace... [WS-CLEANUP] Deferred wipeout is used... [WS-CLEANUP] done Finished: SUCCESS