Started by upstream project "ESP_Beta" build number 701 originally caused by: Started by remote host 18.18.33.14 Started by remote host 18.18.33.14 Running as SYSTEM [EnvInject] - Loading node environment variables. Building remotely on macys in workspace /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64 [WS-CLEANUP] Deleting project workspace... [WS-CLEANUP] Deferred wipeout is used... Checking out a fresh workspace because there's no workspace at /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64 Cleaning local Directory . Checking out svn+ssh://acdl.mit.edu/home/svnroot/OpenCSM/trunk/Jenkins at revision '2024-04-03T11:00:11.016 -0400' --quiet Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ At revision 2475 Checking out a fresh workspace because /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP doesn't exist Cleaning local Directory JenkinsESP Checking out svn+ssh://acdl.mit.edu/home/svnroot/JenkinsESP at revision '2024-04-03T11:00:11.016 -0400' --quiet Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ At revision 851 No changes for svn+ssh://acdl.mit.edu/home/svnroot/OpenCSM/trunk/Jenkins since the previous build No changes for svn+ssh://acdl.mit.edu/home/svnroot/JenkinsESP since the previous build [GitCheckoutListener] SCM 'hudson.scm.SubversionSCM' is not of type GitSCM Run condition [Execution node ] enabling prebuild for step [Execute shell] Run condition [Execution node ] enabling prebuild for step [Execute shell] Run condition [Execution node ] enabling prebuild for step [Execute Windows batch command] [Execution node] check if [macys] is in [[macys, macys_VM, reynolds, reynolds-centOS7, reynolds-centOS8, reynolds-ubuntu18]] Run condition [Execution node ] enabling perform for step [Execute shell] [DARWIN64] $ /bin/bash -ex /var/folders/xs/_fh4l1p54zqcl6mbk4zclcfr0000gp/T/jenkins4534473886755167678.sh + bash -ex /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP/ESP_Beta.sh + source /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP/ESP_Env.sh ++ export OCCTREF=7.6 ++ OCCTREF=7.6 ++ AFLR_VERSION=11.5.9 ++ SU2_VERSION=8.0.0 ++ TETGEN_VERSION=1.6.0 ++ VSP_VERSION=3.34.0 ++ export PYTHON_VERSION=3.11.6 ++ PYTHON_VERSION=3.11.6 ++ export EFCOMP=gfortran ++ EFCOMP=gfortran ++ [[ macys == \r\e\y\n\o\l\d\s ]] ++ [[ DARWIN64 == *\.\i\f\o\r\t ]] ++ '[' -f /opt/intel/oneapi/setvars.sh ']' ++ source /opt/intel/oneapi/setvars.sh ++ '[' -f /Users/jenkins/.bashrc ']' ++ export CAPS_OUTLEVEL=0 ++ CAPS_OUTLEVEL=0 ++ [[ DARWIN64 == \D\A\R\W\I\N\6\4\.\g\c\c ]] ++ export ESP_BLOC=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64 ++ ESP_BLOC=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64 ++ export ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64 ++ ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64 ++ export JULIA_DEPOT_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/julia ++ JULIA_DEPOT_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/julia ++ export AWAVE=awavemod.f ++ AWAVE=awavemod.f ++ export RLM_CONNECT_TIMEOUT=350 ++ RLM_CONNECT_TIMEOUT=350 ++ [[ 7.6 != '' ]] ++ [[ '' != '' ]] ++ [[ macys == *\r\e\y\n\o\l\d\s* ]] ++ [[ macys == *\m\a\c\y\s* ]] ++ export EMPnumProc=2 ++ EMPnumProc=2 ++ export OMP_NUM_THREADS=2 ++ OMP_NUM_THREADS=2 ++ source /Users/jenkins/.bash_profile +++ export PATH=/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ PATH=/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ export PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin +++ export pwid_LICENSE=5053@acdl.mit.edu +++ pwid_LICENSE=5053@acdl.mit.edu ++ [[ macys == *\m\a\c\y\s* ]] ++ export PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ [[ macys == \m\a\c\y\s ]] ++ echo 'data/fighter4.csm; ERROR:: build not completed because error -214 (did_not_create_body) was detected' ++ echo 'data/fighter4.csm; ERROR:: build not completed because error -214 (did_not_create_body) was detected' ++ echo 'data/fighter4.csm; ERROR:: build not completed because error -214 (did_not_create_body) was detected' ++ export CASARCH=. ++ CASARCH=. ++ '[' '!' -z '' ']' +++ ls -d /Users/jenkins/util/ESP/OpenCASCADE-7.8.0 ++ export CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 ++ CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 ++ export UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/udunits/udunits2.xml ++ UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/udunits/udunits2.xml ++ export ESP_EXTERN_LIBS=/Users/jenkins/util/ESP/ExternLibs ++ ESP_EXTERN_LIBS=/Users/jenkins/util/ESP/ExternLibs ++ export DYLD_LIBRARY_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/lib:/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/lib:/opt/intel/oneapi/tbb/2021.3.0/env/../lib:/opt/intel/oneapi/mkl/2021.3.0/lib:/opt/intel/oneapi/ipp/2021.3.0/lib:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib/intel64_mac:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib ++ DYLD_LIBRARY_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/lib:/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/lib:/opt/intel/oneapi/tbb/2021.3.0/env/../lib:/opt/intel/oneapi/mkl/2021.3.0/lib:/opt/intel/oneapi/ipp/2021.3.0/lib:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib/intel64_mac:/opt/intel/oneapi/compiler/2021.3.0/mac/compiler/lib ++ export AFLR=/Users/jenkins/util/AFLR/aflr_lib_11.5.9 ++ AFLR=/Users/jenkins/util/AFLR/aflr_lib_11.5.9 ++ [[ macys == *\m\a\c\y\s* ]] ++ export AFLR_ARCH=MacOSX-x86-64 ++ AFLR_ARCH=MacOSX-x86-64 ++ export ASTROS_ROOT=/Users/jenkins/util/astros/Astros/Darwin-64bit ++ ASTROS_ROOT=/Users/jenkins/util/astros/Astros/Darwin-64bit ++ export PATH=/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export CART3D=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16 ++ CART3D=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16 ++ export CART3D_ARCH=OSX64_ICC ++ CART3D_ARCH=OSX64_ICC ++ export PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export PATH=/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ export VSP3_ROOT=/Users/jenkins/util/OpenVSP/OpenVSP-3.34.0-MacOS ++ VSP3_ROOT=/Users/jenkins/util/OpenVSP/OpenVSP-3.34.0-MacOS ++ export PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/ ++ [[ macys == \m\a\c\y\s ]] ++ export SEACAS=/Users/jenkins/util/sandialabs/seacas ++ SEACAS=/Users/jenkins/util/sandialabs/seacas ++ export SU2_HOME=/Users/jenkins/util/SU2/SU2-v8.0.0 ++ SU2_HOME=/Users/jenkins/util/SU2/SU2-v8.0.0 ++ export SU2_RUN=/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ SU2_RUN=/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ export PATH=/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ '[' -d /Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16 ']' +++ perl -I/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/lib/perl5 -Mlocal::lib=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl ++ eval 'PATH="/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin${PATH:+:${PATH}}"; export PATH; PERL5LIB="/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/lib/perl5${PERL5LIB:+:${PERL5LIB}}"; export PERL5LIB; PERL_LOCAL_LIB_ROOT="/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl${PERL_LOCAL_LIB_ROOT:+:${PERL_LOCAL_LIB_ROOT}}"; export PERL_LOCAL_LIB_ROOT; PERL_MB_OPT="--install_base \"/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl\""; export PERL_MB_OPT; PERL_MM_OPT="INSTALL_BASE=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl"; export PERL_MM_OPT;' +++ PATH=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin +++ export PATH +++ PERL5LIB=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/lib/perl5 +++ export PERL5LIB +++ PERL_LOCAL_LIB_ROOT=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl +++ export PERL_LOCAL_LIB_ROOT +++ PERL_MB_OPT='--install_base "/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl"' +++ export PERL_MB_OPT +++ PERL_MM_OPT=INSTALL_BASE=/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl +++ export PERL_MM_OPT ++ export PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ [[ macys == \m\a\c\y\s* ]] ++ mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin ++ rm -f /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/python ++ rm -f /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/python-config ++ rm -f /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/virtualenv ++ rm -f '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/analyze-*' ++ rm -f '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/intercept-*' ++ [[ macys == \m\a\c\y\s ]] ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/python3 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/python ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/python3-config /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/python-config ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/virtualenv /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/virtualenv +++ ls /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-build /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/analyze-build ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-c++ /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/analyze-c++ ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/analyze-cc /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/analyze-cc ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-build /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/intercept-build ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-c++ /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/intercept-c++ ++ for i in '`ls /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/analyze-* /Users/jenkins/util/ESP/Python/ESP_Python-${PYTHON_VERSION}/bin/intercept-*`' +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc +++ basename /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc ++ ln -s /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/intercept-cc /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/intercept-cc +++ python-config --includes ++ export 'PYTHONINC=. -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11' ++ PYTHONINC='. -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11' +++ python-config --help ++ [[ Usage: /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin/python-config [--prefix|--exec-prefix|--includes|--libs|--cflags|--ldflags|--extension-suffix|--help|--abiflags|--configdir|--embed] == *\e\m\b\e\d* ]] +++ python-config --ldflags --embed ++ export 'PYTHONLIB=-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation' ++ PYTHONLIB='-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation' ++ [[ macys == \m\a\c\y\s ]] ++ export 'PYTHONLIB=-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation -Wl,-w' ++ PYTHONLIB='-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -ldl -framework CoreFoundation -Wl,-w' ++ export PYTHONUNBUFFERED=true ++ PYTHONUNBUFFERED=true ++ export PYTHONFAULTHANDLER=true ++ PYTHONFAULTHANDLER=true ++ python --version Python 3.11.6 ++ [[ DARWIN64 == \D\A\R\W\I\N\6\4\.\g\c\c ]] ++ export CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/data ++ CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/data + virtualenv /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv created virtual environment CPython3.11.6.final.0-64 in 23337ms creator CPython3Posix(dest=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv, clear=False, no_vcs_ignore=False, global=False) seeder FromAppData(download=False, pip=bundle, setuptools=bundle, wheel=bundle, via=copy, app_data_dir=/Users/jenkins/Library/Application Support/virtualenv) added seed packages: pip==24.0, setuptools==69.1.1, wheel==0.42.0 activators BashActivator,CShellActivator,FishActivator,NushellActivator,PowerShellActivator,PythonActivator + . /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/activate ++ '[' /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/activate = /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP/ESP_Beta.sh ']' ++ deactivate nondestructive ++ unset -f pydoc ++ '[' -z '' ']' ++ '[' -z '' ']' ++ hash -r ++ '[' -z '' ']' ++ unset VIRTUAL_ENV ++ unset VIRTUAL_ENV_PROMPT ++ '[' '!' nondestructive = nondestructive ']' ++ VIRTUAL_ENV=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv ++ '[' darwin22 = cygwin ']' ++ '[' darwin22 = msys ']' ++ export VIRTUAL_ENV ++ _OLD_VIRTUAL_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH ++ '[' x '!=' x ']' +++ basename /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv ++ VIRTUAL_ENV_PROMPT=ESP_venv ++ export VIRTUAL_ENV_PROMPT ++ '[' -z '' ']' ++ '[' -z '' ']' ++ _OLD_VIRTUAL_PS1= ++ PS1='(ESP_venv) ' ++ export PS1 ++ alias pydoc ++ true ++ hash -r + pip_install pip + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade pip Requirement already satisfied: pip in ./ESP_venv/lib/python3.11/site-packages (24.0) + pip_install f90nml + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade f90nml Collecting f90nml Using cached f90nml-1.4.4-py2.py3-none-any.whl.metadata (6.0 kB) Using cached f90nml-1.4.4-py2.py3-none-any.whl (32 kB) Installing collected packages: f90nml Successfully installed f90nml-1.4.4 + pip_install numpy + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade numpy Collecting numpy Using cached numpy-1.26.4-cp311-cp311-macosx_10_9_x86_64.whl.metadata (61 kB) Using cached numpy-1.26.4-cp311-cp311-macosx_10_9_x86_64.whl (20.6 MB) Installing collected packages: numpy Successfully installed numpy-1.26.4 + pip_install openmdao + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade openmdao Collecting openmdao Using cached openmdao-3.31.1-py3-none-any.whl Collecting networkx>=2.0 (from openmdao) Using cached networkx-3.2.1-py3-none-any.whl.metadata (5.2 kB) Requirement already satisfied: numpy in ./ESP_venv/lib/python3.11/site-packages (from openmdao) (1.26.4) Collecting scipy (from openmdao) Using cached scipy-1.13.0-cp311-cp311-macosx_10_9_x86_64.whl.metadata (60 kB) Collecting requests (from openmdao) Using cached requests-2.31.0-py3-none-any.whl.metadata (4.6 kB) Collecting packaging (from openmdao) Using cached packaging-24.0-py3-none-any.whl.metadata (3.2 kB) Collecting charset-normalizer<4,>=2 (from requests->openmdao) Using cached charset_normalizer-3.3.2-cp311-cp311-macosx_10_9_x86_64.whl.metadata (33 kB) Collecting idna<4,>=2.5 (from requests->openmdao) Using cached idna-3.6-py3-none-any.whl.metadata (9.9 kB) Collecting urllib3<3,>=1.21.1 (from requests->openmdao) Using cached urllib3-2.2.1-py3-none-any.whl.metadata (6.4 kB) Collecting certifi>=2017.4.17 (from requests->openmdao) Using cached certifi-2024.2.2-py3-none-any.whl.metadata (2.2 kB) Using cached networkx-3.2.1-py3-none-any.whl (1.6 MB) Using cached packaging-24.0-py3-none-any.whl (53 kB) Using cached requests-2.31.0-py3-none-any.whl (62 kB) Using cached scipy-1.13.0-cp311-cp311-macosx_10_9_x86_64.whl (39.3 MB) Using cached certifi-2024.2.2-py3-none-any.whl (163 kB) Using cached charset_normalizer-3.3.2-cp311-cp311-macosx_10_9_x86_64.whl (121 kB) Using cached idna-3.6-py3-none-any.whl (61 kB) Using cached urllib3-2.2.1-py3-none-any.whl (121 kB) Installing collected packages: urllib3, scipy, packaging, networkx, idna, charset-normalizer, certifi, requests, openmdao Successfully installed certifi-2024.2.2 charset-normalizer-3.3.2 idna-3.6 networkx-3.2.1 openmdao-3.31.1 packaging-24.0 requests-2.31.0 scipy-1.13.0 urllib3-2.2.1 + [[ macys == *\v\i\g\g\e\n* ]] + pip_install cvxopt + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade cvxopt Collecting cvxopt Using cached cvxopt-1.3.2-cp311-cp311-macosx_10_9_x86_64.whl.metadata (1.3 kB) Using cached cvxopt-1.3.2-cp311-cp311-macosx_10_9_x86_64.whl (13.8 MB) Installing collected packages: cvxopt Successfully installed cvxopt-1.3.2 + pip_install dill + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade dill Collecting dill Using cached dill-0.3.8-py3-none-any.whl.metadata (10 kB) Using cached dill-0.3.8-py3-none-any.whl (116 kB) Installing collected packages: dill Successfully installed dill-0.3.8 + pip_install packaging + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade packaging Requirement already satisfied: packaging in ./ESP_venv/lib/python3.11/site-packages (24.0) + pip_install matplotlib + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade matplotlib Collecting matplotlib Using cached matplotlib-3.8.3-cp311-cp311-macosx_10_12_x86_64.whl.metadata (5.8 kB) Collecting contourpy>=1.0.1 (from matplotlib) Using cached contourpy-1.2.1-cp311-cp311-macosx_10_9_x86_64.whl.metadata (5.8 kB) Collecting cycler>=0.10 (from matplotlib) Using cached cycler-0.12.1-py3-none-any.whl.metadata (3.8 kB) Collecting fonttools>=4.22.0 (from matplotlib) Using cached fonttools-4.50.0-cp311-cp311-macosx_10_9_x86_64.whl.metadata (159 kB) Collecting kiwisolver>=1.3.1 (from matplotlib) Using cached kiwisolver-1.4.5-cp311-cp311-macosx_10_9_x86_64.whl.metadata (6.4 kB) Requirement already satisfied: numpy<2,>=1.21 in ./ESP_venv/lib/python3.11/site-packages (from matplotlib) (1.26.4) Requirement already satisfied: packaging>=20.0 in ./ESP_venv/lib/python3.11/site-packages (from matplotlib) (24.0) Collecting pillow>=8 (from matplotlib) Using cached pillow-10.3.0-cp311-cp311-macosx_10_10_x86_64.whl.metadata (9.2 kB) Collecting pyparsing>=2.3.1 (from matplotlib) Using cached pyparsing-3.1.2-py3-none-any.whl.metadata (5.1 kB) Collecting python-dateutil>=2.7 (from matplotlib) Using cached python_dateutil-2.9.0.post0-py2.py3-none-any.whl.metadata (8.4 kB) Collecting six>=1.5 (from python-dateutil>=2.7->matplotlib) Using cached six-1.16.0-py2.py3-none-any.whl.metadata (1.8 kB) Using cached matplotlib-3.8.3-cp311-cp311-macosx_10_12_x86_64.whl (7.6 MB) Using cached contourpy-1.2.1-cp311-cp311-macosx_10_9_x86_64.whl (262 kB) Using cached cycler-0.12.1-py3-none-any.whl (8.3 kB) Using cached fonttools-4.50.0-cp311-cp311-macosx_10_9_x86_64.whl (2.3 MB) Using cached kiwisolver-1.4.5-cp311-cp311-macosx_10_9_x86_64.whl (68 kB) Using cached pillow-10.3.0-cp311-cp311-macosx_10_10_x86_64.whl (3.5 MB) Using cached pyparsing-3.1.2-py3-none-any.whl (103 kB) Using cached python_dateutil-2.9.0.post0-py2.py3-none-any.whl (229 kB) Using cached six-1.16.0-py2.py3-none-any.whl (11 kB) Installing collected packages: six, pyparsing, pillow, kiwisolver, fonttools, cycler, contourpy, python-dateutil, matplotlib Successfully installed contourpy-1.2.1 cycler-0.12.1 fonttools-4.50.0 kiwisolver-1.4.5 matplotlib-3.8.3 pillow-10.3.0 pyparsing-3.1.2 python-dateutil-2.9.0.post0 six-1.16.0 + pip_install scipy + /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python -m pip install --only-binary all --upgrade scipy Requirement already satisfied: scipy in ./ESP_venv/lib/python3.11/site-packages (1.13.0) Requirement already satisfied: numpy<2.3,>=1.22.4 in ./ESP_venv/lib/python3.11/site-packages (from scipy) (1.26.4) + unset PYTHONINC + unset PYTHONLIB + unset UDUNITS2_XML_PATH + unset ESP_BLOC + unset ESP_ROOT + unset ESP_ARCH + unset AWAVE + unset AFLR + unset AFLR_ARCH + wget --tries=3 https://acdl.mit.edu/ESP/archive/ESPbeta.tgz --2024-04-03 11:07:38-- https://acdl.mit.edu/ESP/archive/ESPbeta.tgz Resolving acdl.mit.edu (acdl.mit.edu)... 18.18.33.14 Connecting to acdl.mit.edu (acdl.mit.edu)|18.18.33.14|:443... connected. HTTP request sent, awaiting response... 200 OK Length: 270048523 (258M) [application/x-gzip] Saving to: ‘ESPbeta.tgz’ 0K .......... .......... .......... .......... .......... 0% 85.4M 3s 50K .......... .......... .......... .......... .......... 0% 69.1M 3s 100K .......... .......... .......... .......... .......... 0% 75.5M 3s 150K .......... .......... .......... .......... .......... 0% 90.8M 3s 200K .......... .......... .......... .......... .......... 0% 28.7M 4s 250K .......... .......... .......... .......... .......... 0% 44.4M 5s 300K .......... .......... .......... .......... .......... 0% 32.7M 5s 350K .......... .......... .......... .......... .......... 0% 32.3M 5s 400K .......... .......... .......... .......... .......... 0% 36.6M 6s 450K .......... .......... .......... .......... .......... 0% 27.4M 6s 500K .......... .......... .......... .......... .......... 0% 21.6M 7s 550K .......... .......... .......... .......... .......... 0% 80.0M 6s 600K .......... .......... .......... .......... .......... 0% 30.0M 6s 650K .......... .......... .......... .......... .......... 0% 34.5M 7s 700K .......... .......... .......... .......... .......... 0% 23.2M 7s 750K .......... .......... .......... .......... .......... 0% 36.9M 7s 800K .......... .......... .......... .......... .......... 0% 24.3M 7s 850K .......... .......... .......... .......... .......... 0% 19.4M 7s 900K .......... .......... .......... .......... .......... 0% 21.1M 8s 950K .......... .......... .......... .......... .......... 0% 11.5M 8s 1000K .......... .......... .......... .......... .......... 0% 20.2M 9s 1050K .......... .......... .......... .......... .......... 0% 33.9M 9s 1100K .......... .......... .......... .......... .......... 0% 21.7M 9s 1150K .......... .......... .......... .......... .......... 0% 36.9M 9s 1200K .......... .......... .......... .......... .......... 0% 26.8M 9s 1250K .......... .......... .......... .......... .......... 0% 38.9M 9s 1300K .......... .......... .......... .......... .......... 0% 35.1M 8s 1350K .......... .......... .......... .......... .......... 0% 26.2M 9s 1400K .......... .......... .......... .......... .......... 0% 32.7M 9s 1450K .......... .......... .......... .......... .......... 0% 32.0M 8s 1500K .......... .......... .......... .......... .......... 0% 44.1M 8s 1550K .......... .......... .......... .......... .......... 0% 30.3M 8s 1600K .......... .......... .......... .......... .......... 0% 17.8M 9s 1650K .......... .......... .......... .......... .......... 0% 44.0M 9s 1700K .......... .......... .......... .......... .......... 0% 91.6M 8s 1750K .......... .......... .......... .......... .......... 0% 85.7M 8s 1800K .......... .......... .......... .......... .......... 0% 95.7M 8s 1850K .......... .......... .......... .......... .......... 0% 80.3M 8s 1900K .......... .......... .......... .......... .......... 0% 26.0M 8s 1950K .......... .......... .......... .......... .......... 0% 23.3M 8s 2000K .......... .......... .......... .......... .......... 0% 47.7M 8s 2050K .......... .......... .......... .......... .......... 0% 15.3M 8s 2100K .......... .......... .......... .......... .......... 0% 19.5M 8s 2150K .......... .......... .......... .......... .......... 0% 31.8M 8s 2200K .......... .......... .......... .......... .......... 0% 27.3M 8s 2250K .......... .......... .......... .......... .......... 0% 44.8M 8s 2300K .......... .......... .......... .......... .......... 0% 40.8M 8s 2350K .......... .......... .......... .......... .......... 0% 34.9M 8s 2400K .......... .......... .......... .......... .......... 0% 94.3M 8s 2450K .......... .......... .......... .......... .......... 0% 40.0M 8s 2500K .......... .......... .......... .......... .......... 0% 53.4M 8s 2550K .......... .......... .......... .......... .......... 0% 22.2M 8s 2600K .......... .......... .......... .......... .......... 1% 46.5M 8s 2650K .......... .......... .......... .......... .......... 1% 104M 8s 2700K .......... .......... .......... .......... .......... 1% 72.4M 8s 2750K .......... .......... .......... .......... .......... 1% 62.4M 8s 2800K .......... .......... .......... .......... .......... 1% 36.2M 8s 2850K .......... .......... .......... .......... .......... 1% 35.1M 8s 2900K .......... .......... .......... .......... .......... 1% 48.6M 8s 2950K .......... .......... .......... .......... .......... 1% 37.3M 8s 3000K .......... .......... .......... .......... .......... 1% 36.2M 8s 3050K .......... .......... .......... .......... .......... 1% 53.2M 8s 3100K .......... .......... .......... .......... .......... 1% 36.2M 8s 3150K .......... .......... .......... .......... .......... 1% 38.8M 8s 3200K .......... .......... .......... .......... .......... 1% 26.5M 8s 3250K .......... .......... .......... .......... .......... 1% 40.8M 8s 3300K .......... .......... .......... .......... .......... 1% 28.8M 8s 3350K .......... .......... .......... .......... .......... 1% 23.9M 8s 3400K .......... .......... .......... .......... .......... 1% 28.7M 8s 3450K .......... .......... .......... .......... .......... 1% 23.3M 8s 3500K .......... .......... .......... .......... .......... 1% 22.1M 8s 3550K .......... .......... .......... .......... .......... 1% 42.8M 8s 3600K .......... .......... .......... .......... .......... 1% 44.5M 8s 3650K .......... .......... .......... .......... .......... 1% 43.0M 8s 3700K .......... .......... .......... .......... .......... 1% 36.3M 8s 3750K .......... .......... .......... .......... .......... 1% 37.1M 8s 3800K .......... .......... .......... .......... .......... 1% 83.9M 8s 3850K .......... .......... .......... .......... .......... 1% 38.4M 8s 3900K .......... .......... .......... .......... .......... 1% 38.3M 8s 3950K .......... .......... .......... .......... .......... 1% 31.1M 8s 4000K .......... .......... .......... .......... .......... 1% 97.5M 7s 4050K .......... .......... .......... .......... .......... 1% 35.8M 7s 4100K .......... .......... .......... .......... .......... 1% 33.8M 7s 4150K .......... .......... .......... .......... .......... 1% 17.4M 8s 4200K .......... .......... .......... .......... .......... 1% 56.8M 8s 4250K .......... .......... .......... .......... .......... 1% 77.9M 7s 4300K .......... .......... .......... .......... .......... 1% 83.9M 7s 4350K .......... .......... .......... .......... .......... 1% 52.5M 7s 4400K .......... .......... .......... .......... .......... 1% 49.4M 7s 4450K .......... .......... .......... .......... .......... 1% 23.5M 7s 4500K .......... .......... .......... .......... .......... 1% 24.0M 7s 4550K .......... .......... .......... .......... .......... 1% 40.8M 7s 4600K .......... .......... .......... .......... .......... 1% 18.4M 7s 4650K .......... .......... .......... .......... .......... 1% 28.9M 8s 4700K .......... .......... .......... .......... .......... 1% 22.9M 8s 4750K .......... .......... .......... .......... .......... 1% 18.5M 8s 4800K .......... .......... .......... .......... .......... 1% 24.2M 8s 4850K .......... .......... .......... .......... .......... 1% 29.4M 8s 4900K .......... .......... .......... .......... .......... 1% 61.2M 8s 4950K .......... .......... .......... .......... .......... 1% 31.4M 8s 5000K .......... .......... .......... .......... .......... 1% 32.9M 8s 5050K .......... .......... .......... .......... .......... 1% 28.1M 8s 5100K .......... .......... .......... .......... .......... 1% 51.6M 8s 5150K .......... .......... .......... .......... .......... 1% 33.2M 8s 5200K .......... .......... .......... .......... .......... 1% 30.8M 8s 5250K .......... .......... .......... .......... .......... 2% 35.4M 8s 5300K .......... .......... .......... .......... .......... 2% 47.1M 8s 5350K .......... .......... .......... .......... .......... 2% 29.9M 8s 5400K .......... .......... .......... .......... .......... 2% 63.4M 8s 5450K .......... .......... .......... .......... .......... 2% 33.3M 8s 5500K .......... .......... .......... .......... .......... 2% 32.0M 8s 5550K .......... .......... .......... .......... .......... 2% 66.4M 8s 5600K .......... .......... .......... .......... .......... 2% 26.4M 8s 5650K .......... .......... .......... .......... .......... 2% 27.1M 8s 5700K .......... .......... .......... .......... .......... 2% 34.3M 8s 5750K .......... .......... .......... .......... .......... 2% 19.1M 8s 5800K .......... .......... .......... .......... .......... 2% 34.0M 8s 5850K .......... .......... .......... .......... .......... 2% 18.7M 8s 5900K .......... .......... .......... .......... .......... 2% 20.8M 8s 5950K .......... .......... .......... .......... .......... 2% 35.1M 8s 6000K .......... .......... .......... .......... .......... 2% 37.4M 8s 6050K .......... .......... .......... .......... .......... 2% 96.7M 8s 6100K .......... .......... .......... .......... .......... 2% 33.6M 8s 6150K .......... .......... .......... .......... .......... 2% 40.2M 8s 6200K .......... .......... .......... .......... .......... 2% 81.4M 8s 6250K .......... .......... .......... .......... .......... 2% 36.2M 8s 6300K .......... .......... .......... .......... .......... 2% 92.3M 8s 6350K .......... .......... .......... .......... .......... 2% 29.6M 8s 6400K .......... .......... .......... .......... .......... 2% 36.1M 8s 6450K .......... .......... .......... .......... .......... 2% 31.4M 8s 6500K .......... .......... .......... .......... .......... 2% 31.9M 8s 6550K .......... .......... .......... .......... .......... 2% 86.9M 7s 6600K .......... .......... .......... .......... .......... 2% 29.5M 7s 6650K .......... .......... .......... .......... .......... 2% 108M 7s 6700K .......... .......... .......... .......... .......... 2% 29.1M 7s 6750K .......... .......... .......... .......... .......... 2% 26.3M 7s 6800K .......... .......... .......... .......... .......... 2% 89.1M 7s 6850K .......... .......... .......... .......... .......... 2% 29.8M 7s 6900K .......... .......... .......... .......... .......... 2% 56.4M 7s 6950K .......... .......... .......... .......... .......... 2% 24.3M 7s 7000K .......... .......... .......... .......... .......... 2% 84.3M 7s 7050K .......... .......... .......... .......... .......... 2% 19.3M 7s 7100K .......... .......... .......... .......... .......... 2% 8.19M 8s 7150K .......... .......... .......... .......... .......... 2% 92.0M 8s 7200K .......... .......... .......... .......... .......... 2% 81.0M 8s 7250K .......... .......... .......... .......... .......... 2% 33.7M 8s 7300K .......... .......... .......... .......... .......... 2% 34.7M 8s 7350K .......... .......... .......... .......... .......... 2% 34.5M 8s 7400K .......... .......... .......... .......... .......... 2% 67.4M 7s 7450K .......... .......... .......... .......... .......... 2% 36.7M 7s 7500K .......... .......... .......... .......... .......... 2% 32.0M 7s 7550K .......... .......... .......... .......... .......... 2% 36.9M 7s 7600K .......... .......... .......... .......... .......... 2% 70.7M 7s 7650K .......... .......... .......... .......... .......... 2% 31.4M 7s 7700K .......... .......... .......... .......... .......... 2% 39.8M 7s 7750K .......... .......... .......... .......... .......... 2% 35.7M 7s 7800K .......... .......... .......... .......... .......... 2% 73.1M 7s 7850K .......... .......... .......... .......... .......... 2% 40.3M 7s 7900K .......... .......... .......... .......... .......... 3% 39.9M 7s 7950K .......... .......... .......... .......... .......... 3% 46.9M 7s 8000K .......... .......... .......... .......... .......... 3% 36.9M 7s 8050K .......... .......... .......... .......... .......... 3% 31.3M 7s 8100K .......... .......... .......... .......... .......... 3% 33.3M 7s 8150K .......... .......... .......... .......... .......... 3% 16.1M 7s 8200K .......... .......... .......... .......... .......... 3% 67.3M 7s 8250K .......... .......... .......... .......... .......... 3% 44.3M 7s 8300K .......... .......... .......... .......... .......... 3% 18.0M 7s 8350K .......... .......... .......... .......... .......... 3% 15.4M 7s 8400K .......... .......... .......... .......... .......... 3% 22.8M 7s 8450K .......... .......... .......... .......... .......... 3% 26.8M 8s 8500K .......... .......... .......... .......... .......... 3% 63.5M 7s 8550K .......... .......... .......... .......... .......... 3% 31.4M 7s 8600K .......... .......... .......... .......... .......... 3% 33.7M 7s 8650K .......... .......... .......... .......... .......... 3% 54.0M 7s 8700K .......... .......... .......... .......... .......... 3% 33.9M 7s 8750K .......... .......... .......... .......... .......... 3% 69.2M 7s 8800K .......... .......... .......... .......... .......... 3% 33.6M 7s 8850K .......... .......... .......... .......... .......... 3% 41.7M 7s 8900K .......... .......... .......... .......... .......... 3% 82.9M 7s 8950K .......... .......... .......... .......... .......... 3% 31.7M 7s 9000K .......... .......... .......... .......... .......... 3% 44.3M 7s 9050K .......... .......... .......... .......... .......... 3% 67.8M 7s 9100K .......... .......... .......... .......... .......... 3% 46.5M 7s 9150K .......... .......... .......... .......... .......... 3% 69.2M 7s 9200K .......... .......... .......... .......... .......... 3% 69.0M 7s 9250K .......... .......... .......... .......... .......... 3% 47.0M 7s 9300K .......... .......... .......... .......... .......... 3% 65.7M 7s 9350K .......... .......... .......... .......... .......... 3% 44.9M 7s 9400K .......... .......... .......... .......... .......... 3% 73.3M 7s 9450K .......... .......... .......... .......... .......... 3% 54.7M 7s 9500K .......... .......... .......... .......... .......... 3% 69.5M 7s 9550K .......... .......... .......... .......... .......... 3% 78.6M 7s 9600K .......... .......... .......... .......... .......... 3% 37.8M 7s 9650K .......... .......... .......... .......... .......... 3% 88.6M 7s 9700K .......... .......... .......... .......... .......... 3% 46.7M 7s 9750K .......... .......... .......... .......... .......... 3% 68.3M 7s 9800K .......... .......... .......... .......... .......... 3% 50.1M 7s 9850K .......... .......... .......... .......... .......... 3% 37.4M 7s 9900K .......... .......... .......... .......... .......... 3% 47.4M 7s 9950K .......... .......... .......... .......... .......... 3% 36.9M 7s 10000K .......... .......... .......... .......... .......... 3% 49.3M 7s 10050K .......... .......... .......... .......... .......... 3% 45.6M 7s 10100K .......... .......... .......... .......... .......... 3% 38.1M 7s 10150K .......... .......... .......... .......... .......... 3% 41.8M 7s 10200K .......... .......... .......... .......... .......... 3% 1.21M 8s 10250K .......... .......... .......... .......... .......... 3% 72.1M 8s 10300K .......... .......... .......... .......... .......... 3% 84.0M 8s 10350K .......... .......... .......... .......... .......... 3% 78.4M 8s 10400K .......... .......... .......... .......... .......... 3% 89.8M 8s 10450K .......... .......... .......... .......... .......... 3% 80.0M 8s 10500K .......... .......... .......... .......... .......... 4% 92.5M 8s 10550K .......... .......... .......... .......... .......... 4% 92.8M 8s 10600K .......... .......... .......... .......... .......... 4% 19.7M 8s 10650K .......... .......... .......... .......... .......... 4% 34.8M 8s 10700K .......... .......... .......... .......... .......... 4% 75.2M 8s 10750K .......... .......... .......... .......... .......... 4% 97.5M 8s 10800K .......... .......... .......... .......... .......... 4% 100M 8s 10850K .......... .......... .......... .......... .......... 4% 98.4M 8s 10900K .......... .......... .......... .......... .......... 4% 90.9M 8s 10950K .......... .......... .......... .......... .......... 4% 78.9M 8s 11000K .......... .......... .......... .......... .......... 4% 105M 8s 11050K .......... .......... .......... .......... .......... 4% 46.3M 8s 11100K .......... .......... .......... .......... .......... 4% 46.2M 8s 11150K .......... .......... .......... .......... .......... 4% 45.9M 8s 11200K .......... .......... .......... .......... .......... 4% 45.3M 8s 11250K .......... .......... .......... .......... .......... 4% 239K 12s 11300K .......... .......... .......... .......... .......... 4% 91.8M 12s 11350K .......... .......... .......... .......... .......... 4% 89.6M 12s 11400K .......... .......... .......... .......... .......... 4% 93.0M 12s 11450K .......... .......... .......... .......... .......... 4% 82.1M 12s 11500K .......... .......... .......... .......... .......... 4% 92.8M 12s 11550K .......... .......... .......... .......... .......... 4% 76.7M 12s 11600K .......... .......... .......... .......... .......... 4% 73.3M 12s 11650K .......... .......... .......... .......... .......... 4% 70.0M 12s 11700K .......... .......... .......... .......... .......... 4% 26.9M 12s 11750K .......... .......... .......... .......... .......... 4% 42.7M 12s 11800K .......... .......... .......... .......... .......... 4% 44.3M 12s 11850K .......... .......... .......... .......... .......... 4% 31.5M 12s 11900K .......... .......... .......... .......... .......... 4% 28.7M 12s 11950K .......... .......... .......... .......... .......... 4% 31.0M 12s 12000K .......... .......... .......... .......... .......... 4% 69.4M 12s 12050K .......... .......... .......... .......... .......... 4% 43.9M 12s 12100K .......... .......... .......... .......... .......... 4% 65.0M 12s 12150K .......... .......... .......... .......... .......... 4% 35.8M 12s 12200K .......... .......... .......... .......... .......... 4% 72.6M 12s 12250K .......... .......... .......... .......... .......... 4% 222K 16s 12300K .......... .......... .......... .......... .......... 4% 77.8M 16s 12350K .......... .......... .......... .......... .......... 4% 62.3M 16s 12400K .......... .......... .......... .......... .......... 4% 98.2M 16s 12450K .......... .......... .......... .......... .......... 4% 94.1M 16s 12500K .......... .......... .......... .......... .......... 4% 70.4M 16s 12550K .......... .......... .......... .......... .......... 4% 120M 16s 12600K .......... .......... .......... .......... .......... 4% 70.6M 16s 12650K .......... .......... .......... .......... .......... 4% 105M 16s 12700K .......... .......... .......... .......... .......... 4% 107M 16s 12750K .......... .......... .......... .......... .......... 4% 99.4M 16s 12800K .......... .......... .......... .......... .......... 4% 83.8M 16s 12850K .......... .......... .......... .......... .......... 4% 94.1M 16s 12900K .......... .......... .......... .......... .......... 4% 72.2M 16s 12950K .......... .......... .......... .......... .......... 4% 59.8M 15s 13000K .......... .......... .......... .......... .......... 4% 86.9M 15s 13050K .......... .......... .......... .......... .......... 4% 69.9M 15s 13100K .......... .......... .......... .......... .......... 4% 55.1M 15s 13150K .......... .......... .......... .......... .......... 5% 72.4M 15s 13200K .......... .......... .......... .......... .......... 5% 58.0M 15s 13250K .......... .......... .......... .......... .......... 5% 94.8M 15s 13300K .......... .......... .......... .......... .......... 5% 2.77M 15s 13350K .......... .......... .......... .......... .......... 5% 76.4M 15s 13400K .......... .......... .......... .......... .......... 5% 97.5M 15s 13450K .......... .......... .......... .......... .......... 5% 71.6M 15s 13500K .......... .......... .......... .......... .......... 5% 98.0M 15s 13550K .......... .......... .......... .......... .......... 5% 84.8M 15s 13600K .......... .......... .......... .......... .......... 5% 20.8M 15s 13650K .......... .......... .......... .......... .......... 5% 86.9M 15s 13700K .......... .......... .......... .......... .......... 5% 73.1M 15s 13750K .......... .......... .......... .......... .......... 5% 50.3M 15s 13800K .......... .......... .......... .......... .......... 5% 117M 15s 13850K .......... .......... .......... .......... .......... 5% 108M 15s 13900K .......... .......... .......... .......... .......... 5% 54.2M 15s 13950K .......... .......... .......... .......... .......... 5% 32.1M 15s 14000K .......... .......... .......... .......... .......... 5% 36.0M 15s 14050K .......... .......... .......... .......... .......... 5% 40.1M 15s 14100K .......... .......... .......... .......... .......... 5% 41.0M 15s 14150K .......... .......... .......... .......... .......... 5% 32.4M 15s 14200K .......... .......... .......... .......... .......... 5% 39.4M 15s 14250K .......... .......... .......... .......... .......... 5% 60.4M 15s 14300K .......... .......... .......... .......... .......... 5% 574K 16s 14350K .......... .......... .......... .......... .......... 5% 72.6M 16s 14400K .......... .......... .......... .......... .......... 5% 75.7M 16s 14450K .......... .......... .......... .......... .......... 5% 83.6M 16s 14500K .......... .......... .......... .......... .......... 5% 77.6M 16s 14550K .......... .......... .......... .......... .......... 5% 103M 16s 14600K .......... .......... .......... .......... .......... 5% 74.1M 16s 14650K .......... .......... .......... .......... .......... 5% 54.3M 16s 14700K .......... .......... .......... .......... .......... 5% 15.3M 16s 14750K .......... .......... .......... .......... .......... 5% 85.1M 16s 14800K .......... .......... .......... .......... .......... 5% 87.7M 16s 14850K .......... .......... .......... .......... .......... 5% 25.8M 16s 14900K .......... .......... .......... .......... .......... 5% 30.7M 16s 14950K .......... .......... .......... .......... .......... 5% 28.8M 16s 15000K .......... .......... .......... .......... .......... 5% 24.4M 16s 15050K .......... .......... .......... .......... .......... 5% 28.4M 16s 15100K .......... .......... .......... .......... .......... 5% 23.0M 16s 15150K .......... .......... .......... .......... .......... 5% 22.9M 16s 15200K .......... .......... .......... .......... .......... 5% 16.0M 16s 15250K .......... .......... .......... .......... .......... 5% 28.0M 16s 15300K .......... .......... .......... .......... .......... 5% 31.9M 15s 15350K .......... .......... .......... .......... .......... 5% 185K 20s 15400K .......... .......... .......... .......... .......... 5% 130M 20s 15450K .......... .......... .......... .......... .......... 5% 126M 20s 15500K .......... .......... .......... .......... .......... 5% 119M 20s 15550K .......... .......... .......... .......... .......... 5% 103M 20s 15600K .......... .......... .......... .......... .......... 5% 53.4M 19s 15650K .......... .......... .......... .......... .......... 5% 20.0M 19s 15700K .......... .......... .......... .......... .......... 5% 18.5M 19s 15750K .......... .......... .......... .......... .......... 5% 12.6M 19s 15800K .......... .......... .......... .......... .......... 6% 35.9M 19s 15850K .......... .......... .......... .......... .......... 6% 95.0M 19s 15900K .......... .......... .......... .......... .......... 6% 42.7M 19s 15950K .......... .......... .......... .......... .......... 6% 27.8M 19s 16000K .......... .......... .......... .......... .......... 6% 58.8M 19s 16050K .......... .......... .......... .......... .......... 6% 97.7M 19s 16100K .......... .......... .......... .......... .......... 6% 50.5M 19s 16150K .......... .......... .......... .......... .......... 6% 60.4M 19s 16200K .......... .......... .......... .......... .......... 6% 37.9M 19s 16250K .......... .......... .......... .......... .......... 6% 70.2M 19s 16300K .......... .......... .......... .......... .......... 6% 69.7M 19s 16350K .......... .......... .......... .......... .......... 6% 56.8M 19s 16400K .......... .......... .......... .......... .......... 6% 45.1M 19s 16450K .......... .......... .......... .......... .......... 6% 67.9M 19s 16500K .......... .......... .......... .......... .......... 6% 57.4M 19s 16550K .......... .......... .......... .......... .......... 6% 64.0M 19s 16600K .......... .......... .......... .......... .......... 6% 43.2M 19s 16650K .......... .......... .......... .......... .......... 6% 52.0M 19s 16700K .......... .......... .......... .......... .......... 6% 72.6M 19s 16750K .......... .......... .......... .......... .......... 6% 40.4M 18s 16800K .......... .......... .......... .......... .......... 6% 37.1M 18s 16850K .......... .......... .......... .......... .......... 6% 49.7M 18s 16900K .......... .......... .......... .......... .......... 6% 45.4M 18s 16950K .......... .......... .......... .......... .......... 6% 73.0M 18s 17000K .......... .......... .......... .......... .......... 6% 39.0M 18s 17050K .......... .......... .......... .......... .......... 6% 47.5M 18s 17100K .......... .......... .......... .......... .......... 6% 46.6M 18s 17150K .......... .......... .......... .......... .......... 6% 86.3M 18s 17200K .......... .......... .......... .......... .......... 6% 65.8M 18s 17250K .......... .......... .......... .......... .......... 6% 59.3M 18s 17300K .......... .......... .......... .......... .......... 6% 53.8M 18s 17350K .......... .......... .......... .......... .......... 6% 32.6M 18s 17400K .......... .......... .......... .......... .......... 6% 22.6M 18s 17450K .......... .......... .......... .......... .......... 6% 22.7M 18s 17500K .......... .......... .......... .......... .......... 6% 23.8M 18s 17550K .......... .......... .......... .......... .......... 6% 19.6M 18s 17600K .......... .......... .......... .......... .......... 6% 23.4M 18s 17650K .......... .......... .......... .......... .......... 6% 44.3M 18s 17700K .......... .......... .......... .......... .......... 6% 31.4M 18s 17750K .......... .......... .......... .......... .......... 6% 102M 18s 17800K .......... .......... .......... .......... .......... 6% 24.0M 18s 17850K .......... .......... .......... .......... .......... 6% 35.8M 18s 17900K .......... .......... .......... .......... .......... 6% 58.1M 18s 17950K .......... .......... .......... .......... .......... 6% 21.8M 18s 18000K .......... .......... .......... .......... .......... 6% 27.7M 18s 18050K .......... .......... .......... .......... .......... 6% 35.0M 18s 18100K .......... .......... .......... .......... .......... 6% 30.6M 18s 18150K .......... .......... .......... .......... .......... 6% 29.1M 17s 18200K .......... .......... .......... .......... .......... 6% 60.7M 17s 18250K .......... .......... .......... .......... .......... 6% 17.3M 17s 18300K .......... .......... .......... .......... .......... 6% 28.3M 17s 18350K .......... .......... .......... .......... .......... 6% 52.0M 17s 18400K .......... .......... .......... .......... .......... 6% 196K 21s 18450K .......... .......... .......... .......... .......... 7% 137M 21s 18500K .......... .......... .......... .......... .......... 7% 131M 21s 18550K .......... .......... .......... .......... .......... 7% 132M 21s 18600K .......... .......... .......... .......... .......... 7% 114M 20s 18650K .......... .......... .......... .......... .......... 7% 149M 20s 18700K .......... .......... .......... .......... .......... 7% 94.4M 20s 18750K .......... .......... .......... .......... .......... 7% 56.4M 20s 18800K .......... .......... .......... .......... .......... 7% 45.3M 20s 18850K .......... .......... .......... .......... .......... 7% 54.6M 20s 18900K .......... .......... .......... .......... .......... 7% 126M 20s 18950K .......... .......... .......... .......... .......... 7% 42.5M 20s 19000K .......... .......... .......... .......... .......... 7% 83.5M 20s 19050K .......... .......... .......... .......... .......... 7% 83.6M 20s 19100K .......... .......... .......... .......... .......... 7% 96.7M 20s 19150K .......... .......... .......... .......... .......... 7% 81.8M 20s 19200K .......... .......... .......... .......... .......... 7% 98.8M 20s 19250K .......... .......... .......... .......... .......... 7% 98.4M 20s 19300K .......... .......... .......... .......... .......... 7% 66.5M 20s 19350K .......... .......... .......... .......... .......... 7% 80.2M 20s 19400K .......... .......... .......... .......... .......... 7% 89.9M 20s 19450K .......... .......... .......... .......... .......... 7% 234K 22s 19500K .......... .......... .......... .......... .......... 7% 158M 22s 19550K .......... .......... .......... .......... .......... 7% 176M 22s 19600K .......... .......... .......... .......... .......... 7% 122M 22s 19650K .......... .......... .......... .......... .......... 7% 140M 22s 19700K .......... .......... .......... .......... .......... 7% 108M 22s 19750K .......... .......... .......... .......... .......... 7% 95.6M 22s 19800K .......... .......... .......... .......... .......... 7% 59.3M 22s 19850K .......... .......... .......... .......... .......... 7% 34.6M 22s 19900K .......... .......... .......... .......... .......... 7% 37.0M 22s 19950K .......... .......... .......... .......... .......... 7% 51.7M 22s 20000K .......... .......... .......... .......... .......... 7% 101M 22s 20050K .......... .......... .......... .......... .......... 7% 97.9M 22s 20100K .......... .......... .......... .......... .......... 7% 73.3M 22s 20150K .......... .......... .......... .......... .......... 7% 91.1M 22s 20200K .......... .......... .......... .......... .......... 7% 72.1M 22s 20250K .......... .......... .......... .......... .......... 7% 91.8M 22s 20300K .......... .......... .......... .......... .......... 7% 31.7M 21s 20350K .......... .......... .......... .......... .......... 7% 34.5M 21s 20400K .......... .......... .......... .......... .......... 7% 53.9M 21s 20450K .......... .......... .......... .......... .......... 7% 30.3M 21s 20500K .......... .......... .......... .......... .......... 7% 42.3M 21s 20550K .......... .......... .......... .......... .......... 7% 33.4M 21s 20600K .......... .......... .......... .......... .......... 7% 25.2M 21s 20650K .......... .......... .......... .......... .......... 7% 46.8M 21s 20700K .......... .......... .......... .......... .......... 7% 72.8M 21s 20750K .......... .......... .......... .......... .......... 7% 71.2M 21s 20800K .......... .......... .......... .......... .......... 7% 54.0M 21s 20850K .......... .......... .......... .......... .......... 7% 58.2M 21s 20900K .......... .......... .......... .......... .......... 7% 89.9M 21s 20950K .......... .......... .......... .......... .......... 7% 65.6M 21s 21000K .......... .......... .......... .......... .......... 7% 61.0M 21s 21050K .......... .......... .......... .......... .......... 8% 42.4M 21s 21100K .......... .......... .......... .......... .......... 8% 62.5M 21s 21150K .......... .......... .......... .......... .......... 8% 89.9M 21s 21200K .......... .......... .......... .......... .......... 8% 82.2M 21s 21250K .......... .......... .......... .......... .......... 8% 61.4M 21s 21300K .......... .......... .......... .......... .......... 8% 65.5M 21s 21350K .......... .......... .......... .......... .......... 8% 97.9M 21s 21400K .......... .......... .......... .......... .......... 8% 63.7M 21s 21450K .......... .......... .......... .......... .......... 8% 77.1M 20s 21500K .......... .......... .......... .......... .......... 8% 1.23M 21s 21550K .......... .......... .......... .......... .......... 8% 124M 21s 21600K .......... .......... .......... .......... .......... 8% 107M 21s 21650K .......... .......... .......... .......... .......... 8% 135M 21s 21700K .......... .......... .......... .......... .......... 8% 103M 21s 21750K .......... .......... .......... .......... .......... 8% 26.8M 21s 21800K .......... .......... .......... .......... .......... 8% 33.2M 21s 21850K .......... .......... .......... .......... .......... 8% 7.08M 21s 21900K .......... .......... .......... .......... .......... 8% 108M 21s 21950K .......... .......... .......... .......... .......... 8% 109M 21s 22000K .......... .......... .......... .......... .......... 8% 18.3M 21s 22050K .......... .......... .......... .......... .......... 8% 19.1M 20s 22100K .......... .......... .......... .......... .......... 8% 30.7M 20s 22150K .......... .......... .......... .......... .......... 8% 20.4M 20s 22200K .......... .......... .......... .......... .......... 8% 28.4M 20s 22250K .......... .......... .......... .......... .......... 8% 30.1M 20s 22300K .......... .......... .......... .......... .......... 8% 49.1M 20s 22350K .......... .......... .......... .......... .......... 8% 18.6M 20s 22400K .......... .......... .......... .......... .......... 8% 27.3M 20s 22450K .......... .......... .......... .......... .......... 8% 25.2M 20s 22500K .......... .......... .......... .......... .......... 8% 129K 24s 22550K .......... .......... .......... .......... .......... 8% 116M 24s 22600K .......... .......... .......... .......... .......... 8% 112M 24s 22650K .......... .......... .......... .......... .......... 8% 128M 24s 22700K .......... .......... .......... .......... .......... 8% 114M 24s 22750K .......... .......... .......... .......... .......... 8% 135M 24s 22800K .......... .......... .......... .......... .......... 8% 33.0M 24s 22850K .......... .......... .......... .......... .......... 8% 15.5M 24s 22900K .......... .......... .......... .......... .......... 8% 12.0M 24s 22950K .......... .......... .......... .......... .......... 8% 62.0M 24s 23000K .......... .......... .......... .......... .......... 8% 14.1M 24s 23050K .......... .......... .......... .......... .......... 8% 53.5M 24s 23100K .......... .......... .......... .......... .......... 8% 16.0M 24s 23150K .......... .......... .......... .......... .......... 8% 14.8M 24s 23200K .......... .......... .......... .......... .......... 8% 24.7M 24s 23250K .......... .......... .......... .......... .......... 8% 14.6M 24s 23300K .......... .......... .......... .......... .......... 8% 124M 24s 23350K .......... .......... .......... .......... .......... 8% 22.5M 24s 23400K .......... .......... .......... .......... .......... 8% 23.9M 24s 23450K .......... .......... .......... .......... .......... 8% 82.3M 24s 23500K .......... .......... .......... .......... .......... 8% 26.6M 24s 23550K .......... .......... .......... .......... .......... 8% 67.4M 24s 23600K .......... .......... .......... .......... .......... 8% 18.6M 24s 23650K .......... .......... .......... .......... .......... 8% 54.5M 23s 23700K .......... .......... .......... .......... .......... 9% 19.0M 23s 23750K .......... .......... .......... .......... .......... 9% 16.6M 23s 23800K .......... .......... .......... .......... .......... 9% 41.5M 23s 23850K .......... .......... .......... .......... .......... 9% 14.8M 23s 23900K .......... .......... .......... .......... .......... 9% 67.4M 23s 23950K .......... .......... .......... .......... .......... 9% 21.1M 23s 24000K .......... .......... .......... .......... .......... 9% 64.1M 23s 24050K .......... .......... .......... .......... .......... 9% 35.2M 23s 24100K .......... .......... .......... .......... .......... 9% 21.4M 23s 24150K .......... .......... .......... .......... .......... 9% 27.3M 23s 24200K .......... .......... .......... .......... .......... 9% 29.7M 23s 24250K .......... .......... .......... .......... .......... 9% 47.3M 23s 24300K .......... .......... .......... .......... .......... 9% 54.1M 23s 24350K .......... .......... .......... .......... .......... 9% 57.4M 23s 24400K .......... .......... .......... .......... .......... 9% 54.7M 23s 24450K .......... .......... .......... .......... .......... 9% 51.3M 23s 24500K .......... .......... .......... .......... .......... 9% 77.3M 23s 24550K .......... .......... .......... .......... .......... 9% 339K 24s 24600K .......... .......... .......... .......... .......... 9% 189M 24s 24650K .......... .......... .......... .......... .......... 9% 243M 24s 24700K .......... .......... .......... .......... .......... 9% 96.3M 24s 24750K .......... .......... .......... .......... .......... 9% 187M 24s 24800K .......... .......... .......... .......... .......... 9% 230M 24s 24850K .......... .......... .......... .......... .......... 9% 96.9M 24s 24900K .......... .......... .......... .......... .......... 9% 231M 24s 24950K .......... .......... .......... .......... .......... 9% 98.2M 24s 25000K .......... .......... .......... .......... .......... 9% 77.8M 24s 25050K .......... .......... .......... .......... .......... 9% 77.3M 24s 25100K .......... .......... .......... .......... .......... 9% 54.9M 24s 25150K .......... .......... .......... .......... .......... 9% 156M 24s 25200K .......... .......... .......... .......... .......... 9% 40.5M 24s 25250K .......... .......... .......... .......... .......... 9% 41.6M 24s 25300K .......... .......... .......... .......... .......... 9% 37.8M 24s 25350K .......... .......... .......... .......... .......... 9% 35.3M 23s 25400K .......... .......... .......... .......... .......... 9% 17.5M 23s 25450K .......... .......... .......... .......... .......... 9% 6.26M 23s 25500K .......... .......... .......... .......... .......... 9% 184M 23s 25550K .......... .......... .......... .......... .......... 9% 153M 23s 25600K .......... .......... .......... .......... .......... 9% 21.7M 23s 25650K .......... .......... .......... .......... .......... 9% 31.6M 23s 25700K .......... .......... .......... .......... .......... 9% 20.9M 23s 25750K .......... .......... .......... .......... .......... 9% 24.8M 23s 25800K .......... .......... .......... .......... .......... 9% 25.5M 23s 25850K .......... .......... .......... .......... .......... 9% 24.3M 23s 25900K .......... .......... .......... .......... .......... 9% 19.7M 23s 25950K .......... .......... .......... .......... .......... 9% 19.5M 23s 26000K .......... .......... .......... .......... .......... 9% 45.0M 23s 26050K .......... .......... .......... .......... .......... 9% 24.2M 23s 26100K .......... .......... .......... .......... .......... 9% 50.5M 23s 26150K .......... .......... .......... .......... .......... 9% 117M 23s 26200K .......... .......... .......... .......... .......... 9% 53.4M 23s 26250K .......... .......... .......... .......... .......... 9% 56.3M 23s 26300K .......... .......... .......... .......... .......... 9% 76.3M 23s 26350K .......... .......... .......... .......... .......... 10% 49.6M 23s 26400K .......... .......... .......... .......... .......... 10% 46.7M 23s 26450K .......... .......... .......... .......... .......... 10% 31.0M 23s 26500K .......... .......... .......... .......... .......... 10% 48.4M 23s 26550K .......... .......... .......... .......... .......... 10% 45.8M 23s 26600K .......... .......... .......... .......... .......... 10% 337K 24s 26650K .......... .......... .......... .......... .......... 10% 182M 24s 26700K .......... .......... .......... .......... .......... 10% 161M 24s 26750K .......... .......... .......... .......... .......... 10% 186M 24s 26800K .......... .......... .......... .......... .......... 10% 160M 24s 26850K .......... .......... .......... .......... .......... 10% 150M 24s 26900K .......... .......... .......... .......... .......... 10% 62.6M 24s 26950K .......... .......... .......... .......... .......... 10% 58.5M 24s 27000K .......... .......... .......... .......... .......... 10% 61.7M 24s 27050K .......... .......... .......... .......... .......... 10% 77.3M 24s 27100K .......... .......... .......... .......... .......... 10% 42.4M 23s 27150K .......... .......... .......... .......... .......... 10% 61.0M 23s 27200K .......... .......... .......... .......... .......... 10% 41.3M 23s 27250K .......... .......... .......... .......... .......... 10% 74.7M 23s 27300K .......... .......... .......... .......... .......... 10% 85.1M 23s 27350K .......... .......... .......... .......... .......... 10% 54.3M 23s 27400K .......... .......... .......... .......... .......... 10% 51.8M 23s 27450K .......... .......... .......... .......... .......... 10% 38.1M 23s 27500K .......... .......... .......... .......... .......... 10% 55.7M 23s 27550K .......... .......... .......... .......... .......... 10% 80.7M 23s 27600K .......... .......... .......... .......... .......... 10% 366K 24s 27650K .......... .......... .......... .......... .......... 10% 109M 24s 27700K .......... .......... .......... .......... .......... 10% 136M 24s 27750K .......... .......... .......... .......... .......... 10% 133M 24s 27800K .......... .......... .......... .......... .......... 10% 104M 24s 27850K .......... .......... .......... .......... .......... 10% 108M 24s 27900K .......... .......... .......... .......... .......... 10% 56.1M 24s 27950K .......... .......... .......... .......... .......... 10% 47.5M 24s 28000K .......... .......... .......... .......... .......... 10% 10.1M 24s 28050K .......... .......... .......... .......... .......... 10% 138M 24s 28100K .......... .......... .......... .......... .......... 10% 168M 24s 28150K .......... .......... .......... .......... .......... 10% 15.0M 24s 28200K .......... .......... .......... .......... .......... 10% 33.2M 24s 28250K .......... .......... .......... .......... .......... 10% 24.5M 24s 28300K .......... .......... .......... .......... .......... 10% 21.2M 24s 28350K .......... .......... .......... .......... .......... 10% 17.5M 24s 28400K .......... .......... .......... .......... .......... 10% 18.6M 24s 28450K .......... .......... .......... .......... .......... 10% 22.2M 24s 28500K .......... .......... .......... .......... .......... 10% 16.1M 24s 28550K .......... .......... .......... .......... .......... 10% 24.3M 24s 28600K .......... .......... .......... .......... .......... 10% 55.1M 24s 28650K .......... .......... .......... .......... .......... 10% 29.4M 24s 28700K .......... .......... .......... .......... .......... 10% 20.1M 24s 28750K .......... .......... .......... .......... .......... 10% 18.1M 23s 28800K .......... .......... .......... .......... .......... 10% 13.7M 23s 28850K .......... .......... .......... .......... .......... 10% 13.7M 23s 28900K .......... .......... .......... .......... .......... 10% 23.7M 23s 28950K .......... .......... .......... .......... .......... 10% 25.6M 23s 29000K .......... .......... .......... .......... .......... 11% 20.6M 23s 29050K .......... .......... .......... .......... .......... 11% 27.3M 23s 29100K .......... .......... .......... .......... .......... 11% 42.4M 23s 29150K .......... .......... .......... .......... .......... 11% 18.9M 23s 29200K .......... .......... .......... .......... .......... 11% 10.3M 23s 29250K .......... .......... .......... .......... .......... 11% 27.5M 23s 29300K .......... .......... .......... .......... .......... 11% 23.4M 23s 29350K .......... .......... .......... .......... .......... 11% 99.4M 23s 29400K .......... .......... .......... .......... .......... 11% 34.9M 23s 29450K .......... .......... .......... .......... .......... 11% 53.7M 23s 29500K .......... .......... .......... .......... .......... 11% 39.9M 23s 29550K .......... .......... .......... .......... .......... 11% 98.8M 23s 29600K .......... .......... .......... .......... .......... 11% 60.4M 23s 29650K .......... .......... .......... .......... .......... 11% 70.8M 23s 29700K .......... .......... .......... .......... .......... 11% 313K 24s 29750K .......... .......... .......... .......... .......... 11% 132M 24s 29800K .......... .......... .......... .......... .......... 11% 88.6M 24s 29850K .......... .......... .......... .......... .......... 11% 123M 24s 29900K .......... .......... .......... .......... .......... 11% 151M 24s 29950K .......... .......... .......... .......... .......... 11% 113M 24s 30000K .......... .......... .......... .......... .......... 11% 81.4M 24s 30050K .......... .......... .......... .......... .......... 11% 39.8M 24s 30100K .......... .......... .......... .......... .......... 11% 34.4M 24s 30150K .......... .......... .......... .......... .......... 11% 44.7M 24s 30200K .......... .......... .......... .......... .......... 11% 48.1M 24s 30250K .......... .......... .......... .......... .......... 11% 47.1M 24s 30300K .......... .......... .......... .......... .......... 11% 30.4M 24s 30350K .......... .......... .......... .......... .......... 11% 40.1M 24s 30400K .......... .......... .......... .......... .......... 11% 41.4M 24s 30450K .......... .......... .......... .......... .......... 11% 29.0M 24s 30500K .......... .......... .......... .......... .......... 11% 289M 24s 30550K .......... .......... .......... .......... .......... 11% 96.5M 24s 30600K .......... .......... .......... .......... .......... 11% 49.4M 23s 30650K .......... .......... .......... .......... .......... 11% 60.7M 23s 30700K .......... .......... .......... .......... .......... 11% 288K 25s 30750K .......... .......... .......... .......... .......... 11% 150M 25s 30800K .......... .......... .......... .......... .......... 11% 126M 25s 30850K .......... .......... .......... .......... .......... 11% 107M 25s 30900K .......... .......... .......... .......... .......... 11% 94.6M 25s 30950K .......... .......... .......... .......... .......... 11% 99.4M 25s 31000K .......... .......... .......... .......... .......... 11% 127M 24s 31050K .......... .......... .......... .......... .......... 11% 48.0M 24s 31100K .......... .......... .......... .......... .......... 11% 33.0M 24s 31150K .......... .......... .......... .......... .......... 11% 37.4M 24s 31200K .......... .......... .......... .......... .......... 11% 75.2M 24s 31250K .......... .......... .......... .......... .......... 11% 23.6M 24s 31300K .......... .......... .......... .......... .......... 11% 47.7M 24s 31350K .......... .......... .......... .......... .......... 11% 25.2M 24s 31400K .......... .......... .......... .......... .......... 11% 37.8M 24s 31450K .......... .......... .......... .......... .......... 11% 11.5M 24s 31500K .......... .......... .......... .......... .......... 11% 14.3M 24s 31550K .......... .......... .......... .......... .......... 11% 73.1M 24s 31600K .......... .......... .......... .......... .......... 12% 15.9M 24s 31650K .......... .......... .......... .......... .......... 12% 67.3M 24s 31700K .......... .......... .......... .......... .......... 12% 20.5M 24s 31750K .......... .......... .......... .......... .......... 12% 33.0M 24s 31800K .......... .......... .......... .......... .......... 12% 15.3M 24s 31850K .......... .......... .......... .......... .......... 12% 24.1M 24s 31900K .......... .......... .......... .......... .......... 12% 44.1M 24s 31950K .......... .......... .......... .......... .......... 12% 21.6M 24s 32000K .......... .......... .......... .......... .......... 12% 65.9M 24s 32050K .......... .......... .......... .......... .......... 12% 30.2M 24s 32100K .......... .......... .......... .......... .......... 12% 52.3M 24s 32150K .......... .......... .......... .......... .......... 12% 40.2M 24s 32200K .......... .......... .......... .......... .......... 12% 30.7M 24s 32250K .......... .......... .......... .......... .......... 12% 27.6M 24s 32300K .......... .......... .......... .......... .......... 12% 25.1M 24s 32350K .......... .......... .......... .......... .......... 12% 29.9M 24s 32400K .......... .......... .......... .......... .......... 12% 21.2M 24s 32450K .......... .......... .......... .......... .......... 12% 38.0M 24s 32500K .......... .......... .......... .......... .......... 12% 46.9M 24s 32550K .......... .......... .......... .......... .......... 12% 55.0M 24s 32600K .......... .......... .......... .......... .......... 12% 48.4M 23s 32650K .......... .......... .......... .......... .......... 12% 83.3M 23s 32700K .......... .......... .......... .......... .......... 12% 39.4M 23s 32750K .......... .......... .......... .......... .......... 12% 321K 24s 32800K .......... .......... .......... .......... .......... 12% 146M 24s 32850K .......... .......... .......... .......... .......... 12% 133M 24s 32900K .......... .......... .......... .......... .......... 12% 112M 24s 32950K .......... .......... .......... .......... .......... 12% 120M 24s 33000K .......... .......... .......... .......... .......... 12% 134M 24s 33050K .......... .......... .......... .......... .......... 12% 171M 24s 33100K .......... .......... .......... .......... .......... 12% 142M 24s 33150K .......... .......... .......... .......... .......... 12% 62.0M 24s 33200K .......... .......... .......... .......... .......... 12% 56.1M 24s 33250K .......... .......... .......... .......... .......... 12% 63.9M 24s 33300K .......... .......... .......... .......... .......... 12% 57.7M 24s 33350K .......... .......... .......... .......... .......... 12% 48.2M 24s 33400K .......... .......... .......... .......... .......... 12% 57.2M 24s 33450K .......... .......... .......... .......... .......... 12% 71.4M 24s 33500K .......... .......... .......... .......... .......... 12% 36.0M 24s 33550K .......... .......... .......... .......... .......... 12% 60.0M 24s 33600K .......... .......... .......... .......... .......... 12% 40.5M 24s 33650K .......... .......... .......... .......... .......... 12% 57.5M 24s 33700K .......... .......... .......... .......... .......... 12% 61.0M 24s 33750K .......... .......... .......... .......... .......... 12% 203K 25s 33800K .......... .......... .......... .......... .......... 12% 158M 25s 33850K .......... .......... .......... .......... .......... 12% 94.1M 25s 33900K .......... .......... .......... .......... .......... 12% 118M 25s 33950K .......... .......... .......... .......... .......... 12% 93.4M 25s 34000K .......... .......... .......... .......... .......... 12% 126M 25s 34050K .......... .......... .......... .......... .......... 12% 126M 25s 34100K .......... .......... .......... .......... .......... 12% 106M 25s 34150K .......... .......... .......... .......... .......... 12% 99.9M 25s 34200K .......... .......... .......... .......... .......... 12% 94.8M 25s 34250K .......... .......... .......... .......... .......... 13% 98.0M 25s 34300K .......... .......... .......... .......... .......... 13% 88.9M 25s 34350K .......... .......... .......... .......... .......... 13% 94.3M 25s 34400K .......... .......... .......... .......... .......... 13% 88.1M 25s 34450K .......... .......... .......... .......... .......... 13% 58.9M 25s 34500K .......... .......... .......... .......... .......... 13% 48.2M 25s 34550K .......... .......... .......... .......... .......... 13% 85.1M 25s 34600K .......... .......... .......... .......... .......... 13% 58.0M 25s 34650K .......... .......... .......... .......... .......... 13% 87.7M 25s 34700K .......... .......... .......... .......... .......... 13% 45.4M 25s 34750K .......... .......... .......... .......... .......... 13% 132M 25s 34800K .......... .......... .......... .......... .......... 13% 2.94M 25s 34850K .......... .......... .......... .......... .......... 13% 113M 25s 34900K .......... .......... .......... .......... .......... 13% 112M 25s 34950K .......... .......... .......... .......... .......... 13% 112M 25s 35000K .......... .......... .......... .......... .......... 13% 124M 25s 35050K .......... .......... .......... .......... .......... 13% 125M 25s 35100K .......... .......... .......... .......... .......... 13% 119M 24s 35150K .......... .......... .......... .......... .......... 13% 140M 24s 35200K .......... .......... .......... .......... .......... 13% 121M 24s 35250K .......... .......... .......... .......... .......... 13% 141M 24s 35300K .......... .......... .......... .......... .......... 13% 108M 24s 35350K .......... .......... .......... .......... .......... 13% 63.8M 24s 35400K .......... .......... .......... .......... .......... 13% 47.4M 24s 35450K .......... .......... .......... .......... .......... 13% 49.0M 24s 35500K .......... .......... .......... .......... .......... 13% 53.6M 24s 35550K .......... .......... .......... .......... .......... 13% 73.9M 24s 35600K .......... .......... .......... .......... .......... 13% 73.3M 24s 35650K .......... .......... .......... .......... .......... 13% 7.81M 24s 35700K .......... .......... .......... .......... .......... 13% 101M 24s 35750K .......... .......... .......... .......... .......... 13% 130M 24s 35800K .......... .......... .......... .......... .......... 13% 454K 25s 35850K .......... .......... .......... .......... .......... 13% 140M 25s 35900K .......... .......... .......... .......... .......... 13% 135M 25s 35950K .......... .......... .......... .......... .......... 13% 76.8M 25s 36000K .......... .......... .......... .......... .......... 13% 84.3M 25s 36050K .......... .......... .......... .......... .......... 13% 127M 25s 36100K .......... .......... .......... .......... .......... 13% 103M 25s 36150K .......... .......... .......... .......... .......... 13% 98.6M 24s 36200K .......... .......... .......... .......... .......... 13% 97.7M 24s 36250K .......... .......... .......... .......... .......... 13% 116M 24s 36300K .......... .......... .......... .......... .......... 13% 135M 24s 36350K .......... .......... .......... .......... .......... 13% 50.3M 24s 36400K .......... .......... .......... .......... .......... 13% 41.4M 24s 36450K .......... .......... .......... .......... .......... 13% 50.9M 24s 36500K .......... .......... .......... .......... .......... 13% 111M 24s 36550K .......... .......... .......... .......... .......... 13% 54.1M 24s 36600K .......... .......... .......... .......... .......... 13% 77.4M 24s 36650K .......... .......... .......... .......... .......... 13% 39.0M 24s 36700K .......... .......... .......... .......... .......... 13% 52.7M 24s 36750K .......... .......... .......... .......... .......... 13% 91.4M 24s 36800K .......... .......... .......... .......... .......... 13% 77.5M 24s 36850K .......... .......... .......... .......... .......... 13% 64.6M 24s 36900K .......... .......... .......... .......... .......... 14% 51.4M 24s 36950K .......... .......... .......... .......... .......... 14% 70.6M 24s 37000K .......... .......... .......... .......... .......... 14% 48.2M 24s 37050K .......... .......... .......... .......... .......... 14% 74.5M 24s 37100K .......... .......... .......... .......... .......... 14% 48.4M 24s 37150K .......... .......... .......... .......... .......... 14% 48.5M 24s 37200K .......... .......... .......... .......... .......... 14% 99.6M 24s 37250K .......... .......... .......... .......... .......... 14% 43.5M 24s 37300K .......... .......... .......... .......... .......... 14% 72.2M 24s 37350K .......... .......... .......... .......... .......... 14% 41.0M 24s 37400K .......... .......... .......... .......... .......... 14% 69.3M 24s 37450K .......... .......... .......... .......... .......... 14% 53.2M 24s 37500K .......... .......... .......... .......... .......... 14% 72.6M 24s 37550K .......... .......... .......... .......... .......... 14% 56.7M 24s 37600K .......... .......... .......... .......... .......... 14% 46.0M 24s 37650K .......... .......... .......... .......... .......... 14% 73.1M 23s 37700K .......... .......... .......... .......... .......... 14% 56.8M 23s 37750K .......... .......... .......... .......... .......... 14% 58.5M 23s 37800K .......... .......... .......... .......... .......... 14% 86.4M 23s 37850K .......... .......... .......... .......... .......... 14% 173K 25s 37900K .......... .......... .......... .......... .......... 14% 137M 25s 37950K .......... .......... .......... .......... .......... 14% 145M 25s 38000K .......... .......... .......... .......... .......... 14% 116M 25s 38050K .......... .......... .......... .......... .......... 14% 128M 25s 38100K .......... .......... .......... .......... .......... 14% 127M 25s 38150K .......... .......... .......... .......... .......... 14% 165M 25s 38200K .......... .......... .......... .......... .......... 14% 131M 25s 38250K .......... .......... .......... .......... .......... 14% 159M 25s 38300K .......... .......... .......... .......... .......... 14% 133M 25s 38350K .......... .......... .......... .......... .......... 14% 56.0M 25s 38400K .......... .......... .......... .......... .......... 14% 87.7M 25s 38450K .......... .......... .......... .......... .......... 14% 76.4M 25s 38500K .......... .......... .......... .......... .......... 14% 112M 25s 38550K .......... .......... .......... .......... .......... 14% 58.0M 25s 38600K .......... .......... .......... .......... .......... 14% 58.0M 25s 38650K .......... .......... .......... .......... .......... 14% 93.4M 25s 38700K .......... .......... .......... .......... .......... 14% 70.6M 24s 38750K .......... .......... .......... .......... .......... 14% 103M 24s 38800K .......... .......... .......... .......... .......... 14% 54.2M 24s 38850K .......... .......... .......... .......... .......... 14% 62.8M 24s 38900K .......... .......... .......... .......... .......... 14% 189K 26s 38950K .......... .......... .......... .......... .......... 14% 97.1M 26s 39000K .......... .......... .......... .......... .......... 14% 130M 26s 39050K .......... .......... .......... .......... .......... 14% 96.3M 26s 39100K .......... .......... .......... .......... .......... 14% 115M 26s 39150K .......... .......... .......... .......... .......... 14% 108M 26s 39200K .......... .......... .......... .......... .......... 14% 102M 26s 39250K .......... .......... .......... .......... .......... 14% 134M 26s 39300K .......... .......... .......... .......... .......... 14% 129M 26s 39350K .......... .......... .......... .......... .......... 14% 16.9M 26s 39400K .......... .......... .......... .......... .......... 14% 139M 26s 39450K .......... .......... .......... .......... .......... 14% 131M 25s 39500K .......... .......... .......... .......... .......... 14% 57.5M 25s 39550K .......... .......... .......... .......... .......... 15% 90.3M 25s 39600K .......... .......... .......... .......... .......... 15% 41.1M 25s 39650K .......... .......... .......... .......... .......... 15% 42.9M 25s 39700K .......... .......... .......... .......... .......... 15% 31.9M 25s 39750K .......... .......... .......... .......... .......... 15% 101M 25s 39800K .......... .......... .......... .......... .......... 15% 45.3M 25s 39850K .......... .......... .......... .......... .......... 15% 32.3M 25s 39900K .......... .......... .......... .......... .......... 15% 86.1M 25s 39950K .......... .......... .......... .......... .......... 15% 42.9M 25s 40000K .......... .......... .......... .......... .......... 15% 40.4M 25s 40050K .......... .......... .......... .......... .......... 15% 101M 25s 40100K .......... .......... .......... .......... .......... 15% 17.8M 25s 40150K .......... .......... .......... .......... .......... 15% 118M 25s 40200K .......... .......... .......... .......... .......... 15% 123M 25s 40250K .......... .......... .......... .......... .......... 15% 64.5M 25s 40300K .......... .......... .......... .......... .......... 15% 37.4M 25s 40350K .......... .......... .......... .......... .......... 15% 26.5M 25s 40400K .......... .......... .......... .......... .......... 15% 41.0M 25s 40450K .......... .......... .......... .......... .......... 15% 49.5M 25s 40500K .......... .......... .......... .......... .......... 15% 52.2M 25s 40550K .......... .......... .......... .......... .......... 15% 49.7M 25s 40600K .......... .......... .......... .......... .......... 15% 48.5M 25s 40650K .......... .......... .......... .......... .......... 15% 37.3M 25s 40700K .......... .......... .......... .......... .......... 15% 39.1M 25s 40750K .......... .......... .......... .......... .......... 15% 48.4M 25s 40800K .......... .......... .......... .......... .......... 15% 75.2M 25s 40850K .......... .......... .......... .......... .......... 15% 37.6M 25s 40900K .......... .......... .......... .......... .......... 15% 47.8M 25s 40950K .......... .......... .......... .......... .......... 15% 159K 26s 41000K .......... .......... .......... .......... .......... 15% 101M 26s 41050K .......... .......... .......... .......... .......... 15% 120M 26s 41100K .......... .......... .......... .......... .......... 15% 127M 26s 41150K .......... .......... .......... .......... .......... 15% 150M 26s 41200K .......... .......... .......... .......... .......... 15% 86.0M 26s 41250K .......... .......... .......... .......... .......... 15% 142M 26s 41300K .......... .......... .......... .......... .......... 15% 139M 26s 41350K .......... .......... .......... .......... .......... 15% 42.0M 26s 41400K .......... .......... .......... .......... .......... 15% 63.4M 26s 41450K .......... .......... .......... .......... .......... 15% 65.6M 26s 41500K .......... .......... .......... .......... .......... 15% 102M 26s 41550K .......... .......... .......... .......... .......... 15% 40.6M 26s 41600K .......... .......... .......... .......... .......... 15% 117M 26s 41650K .......... .......... .......... .......... .......... 15% 77.8M 26s 41700K .......... .......... .......... .......... .......... 15% 56.1M 26s 41750K .......... .......... .......... .......... .......... 15% 120M 26s 41800K .......... .......... .......... .......... .......... 15% 70.3M 26s 41850K .......... .......... .......... .......... .......... 15% 70.1M 26s 41900K .......... .......... .......... .......... .......... 15% 73.6M 26s 41950K .......... .......... .......... .......... .......... 15% 183K 27s 42000K .......... .......... .......... .......... .......... 15% 151M 27s 42050K .......... .......... .......... .......... .......... 15% 163M 27s 42100K .......... .......... .......... .......... .......... 15% 100M 27s 42150K .......... .......... .......... .......... .......... 16% 140M 27s 42200K .......... .......... .......... .......... .......... 16% 117M 27s 42250K .......... .......... .......... .......... .......... 16% 131M 27s 42300K .......... .......... .......... .......... .......... 16% 163M 27s 42350K .......... .......... .......... .......... .......... 16% 17.7M 27s 42400K .......... .......... .......... .......... .......... 16% 122M 27s 42450K .......... .......... .......... .......... .......... 16% 71.9M 27s 42500K .......... .......... .......... .......... .......... 16% 32.3M 27s 42550K .......... .......... .......... .......... .......... 16% 37.0M 27s 42600K .......... .......... .......... .......... .......... 16% 27.1M 27s 42650K .......... .......... .......... .......... .......... 16% 42.1M 27s 42700K .......... .......... .......... .......... .......... 16% 39.4M 27s 42750K .......... .......... .......... .......... .......... 16% 41.6M 27s 42800K .......... .......... .......... .......... .......... 16% 77.1M 26s 42850K .......... .......... .......... .......... .......... 16% 37.2M 26s 42900K .......... .......... .......... .......... .......... 16% 38.8M 26s 42950K .......... .......... .......... .......... .......... 16% 37.7M 26s 43000K .......... .......... .......... .......... .......... 16% 35.3M 26s 43050K .......... .......... .......... .......... .......... 16% 27.0M 26s 43100K .......... .......... .......... .......... .......... 16% 56.0M 26s 43150K .......... .......... .......... .......... .......... 16% 34.2M 26s 43200K .......... .......... .......... .......... .......... 16% 24.1M 26s 43250K .......... .......... .......... .......... .......... 16% 45.4M 26s 43300K .......... .......... .......... .......... .......... 16% 52.3M 26s 43350K .......... .......... .......... .......... .......... 16% 27.5M 26s 43400K .......... .......... .......... .......... .......... 16% 38.8M 26s 43450K .......... .......... .......... .......... .......... 16% 26.4M 26s 43500K .......... .......... .......... .......... .......... 16% 107M 26s 43550K .......... .......... .......... .......... .......... 16% 29.8M 26s 43600K .......... .......... .......... .......... .......... 16% 30.6M 26s 43650K .......... .......... .......... .......... .......... 16% 37.2M 26s 43700K .......... .......... .......... .......... .......... 16% 23.4M 26s 43750K .......... .......... .......... .......... .......... 16% 23.2M 26s 43800K .......... .......... .......... .......... .......... 16% 60.9M 26s 43850K .......... .......... .......... .......... .......... 16% 31.7M 26s 43900K .......... .......... .......... .......... .......... 16% 103M 26s 43950K .......... .......... .......... .......... .......... 16% 29.1M 26s 44000K .......... .......... .......... .......... .......... 16% 137K 28s 44050K .......... .......... .......... .......... .......... 16% 153M 28s 44100K .......... .......... .......... .......... .......... 16% 147M 28s 44150K .......... .......... .......... .......... .......... 16% 98.4M 27s 44200K .......... .......... .......... .......... .......... 16% 100M 27s 44250K .......... .......... .......... .......... .......... 16% 148M 27s 44300K .......... .......... .......... .......... .......... 16% 131M 27s 44350K .......... .......... .......... .......... .......... 16% 124M 27s 44400K .......... .......... .......... .......... .......... 16% 120M 27s 44450K .......... .......... .......... .......... .......... 16% 82.9M 27s 44500K .......... .......... .......... .......... .......... 16% 54.9M 27s 44550K .......... .......... .......... .......... .......... 16% 67.5M 27s 44600K .......... .......... .......... .......... .......... 16% 92.3M 27s 44650K .......... .......... .......... .......... .......... 16% 39.5M 27s 44700K .......... .......... .......... .......... .......... 16% 93.4M 27s 44750K .......... .......... .......... .......... .......... 16% 46.1M 27s 44800K .......... .......... .......... .......... .......... 17% 77.0M 27s 44850K .......... .......... .......... .......... .......... 17% 53.4M 27s 44900K .......... .......... .......... .......... .......... 17% 78.9M 27s 44950K .......... .......... .......... .......... .......... 17% 61.7M 27s 45000K .......... .......... .......... .......... .......... 17% 54.9M 27s 45050K .......... .......... .......... .......... .......... 17% 180K 28s 45100K .......... .......... .......... .......... .......... 17% 115M 28s 45150K .......... .......... .......... .......... .......... 17% 114M 28s 45200K .......... .......... .......... .......... .......... 17% 109M 28s 45250K .......... .......... .......... .......... .......... 17% 115M 28s 45300K .......... .......... .......... .......... .......... 17% 197M 28s 45350K .......... .......... .......... .......... .......... 17% 104M 28s 45400K .......... .......... .......... .......... .......... 17% 138M 28s 45450K .......... .......... .......... .......... .......... 17% 58.1M 28s 45500K .......... .......... .......... .......... .......... 17% 43.9M 28s 45550K .......... .......... .......... .......... .......... 17% 87.5M 28s 45600K .......... .......... .......... .......... .......... 17% 32.8M 28s 45650K .......... .......... .......... .......... .......... 17% 80.3M 28s 45700K .......... .......... .......... .......... .......... 17% 52.1M 28s 45750K .......... .......... .......... .......... .......... 17% 81.7M 28s 45800K .......... .......... .......... .......... .......... 17% 60.4M 28s 45850K .......... .......... .......... .......... .......... 17% 51.3M 28s 45900K .......... .......... .......... .......... .......... 17% 100M 28s 45950K .......... .......... .......... .......... .......... 17% 79.7M 28s 46000K .......... .......... .......... .......... .......... 17% 75.2M 28s 46050K .......... .......... .......... .......... .......... 17% 1.19M 28s 46100K .......... .......... .......... .......... .......... 17% 129M 28s 46150K .......... .......... .......... .......... .......... 17% 161M 28s 46200K .......... .......... .......... .......... .......... 17% 125M 28s 46250K .......... .......... .......... .......... .......... 17% 132M 28s 46300K .......... .......... .......... .......... .......... 17% 124M 28s 46350K .......... .......... .......... .......... .......... 17% 135M 28s 46400K .......... .......... .......... .......... .......... 17% 142M 27s 46450K .......... .......... .......... .......... .......... 17% 161M 27s 46500K .......... .......... .......... .......... .......... 17% 129M 27s 46550K .......... .......... .......... .......... .......... 17% 90.9M 27s 46600K .......... .......... .......... .......... .......... 17% 80.6M 27s 46650K .......... .......... .......... .......... .......... 17% 82.9M 27s 46700K .......... .......... .......... .......... .......... 17% 73.0M 27s 46750K .......... .......... .......... .......... .......... 17% 42.4M 27s 46800K .......... .......... .......... .......... .......... 17% 10.9M 27s 46850K .......... .......... .......... .......... .......... 17% 86.3M 27s 46900K .......... .......... .......... .......... .......... 17% 128M 27s 46950K .......... .......... .......... .......... .......... 17% 96.7M 27s 47000K .......... .......... .......... .......... .......... 17% 79.4M 27s 47050K .......... .......... .......... .......... .......... 17% 35.6M 27s 47100K .......... .......... .......... .......... .......... 17% 781K 27s 47150K .......... .......... .......... .......... .......... 17% 136M 27s 47200K .......... .......... .......... .......... .......... 17% 94.1M 27s 47250K .......... .......... .......... .......... .......... 17% 144M 27s 47300K .......... .......... .......... .......... .......... 17% 116M 27s 47350K .......... .......... .......... .......... .......... 17% 99.9M 27s 47400K .......... .......... .......... .......... .......... 17% 128M 27s 47450K .......... .......... .......... .......... .......... 18% 138M 27s 47500K .......... .......... .......... .......... .......... 18% 162M 27s 47550K .......... .......... .......... .......... .......... 18% 61.9M 27s 47600K .......... .......... .......... .......... .......... 18% 46.7M 27s 47650K .......... .......... .......... .......... .......... 18% 83.2M 27s 47700K .......... .......... .......... .......... .......... 18% 49.8M 27s 47750K .......... .......... .......... .......... .......... 18% 56.1M 27s 47800K .......... .......... .......... .......... .......... 18% 67.4M 27s 47850K .......... .......... .......... .......... .......... 18% 79.1M 27s 47900K .......... .......... .......... .......... .......... 18% 59.8M 27s 47950K .......... .......... .......... .......... .......... 18% 31.6M 27s 48000K .......... .......... .......... .......... .......... 18% 94.3M 27s 48050K .......... .......... .......... .......... .......... 18% 49.0M 27s 48100K .......... .......... .......... .......... .......... 18% 167K 28s 48150K .......... .......... .......... .......... .......... 18% 128M 28s 48200K .......... .......... .......... .......... .......... 18% 107M 28s 48250K .......... .......... .......... .......... .......... 18% 126M 28s 48300K .......... .......... .......... .......... .......... 18% 103M 28s 48350K .......... .......... .......... .......... .......... 18% 132M 28s 48400K .......... .......... .......... .......... .......... 18% 131M 28s 48450K .......... .......... .......... .......... .......... 18% 132M 28s 48500K .......... .......... .......... .......... .......... 18% 85.5M 28s 48550K .......... .......... .......... .......... .......... 18% 60.1M 28s 48600K .......... .......... .......... .......... .......... 18% 43.4M 28s 48650K .......... .......... .......... .......... .......... 18% 71.8M 28s 48700K .......... .......... .......... .......... .......... 18% 48.5M 28s 48750K .......... .......... .......... .......... .......... 18% 51.9M 28s 48800K .......... .......... .......... .......... .......... 18% 39.5M 28s 48850K .......... .......... .......... .......... .......... 18% 9.25M 28s 48900K .......... .......... .......... .......... .......... 18% 133M 28s 48950K .......... .......... .......... .......... .......... 18% 41.6M 28s 49000K .......... .......... .......... .......... .......... 18% 33.6M 28s 49050K .......... .......... .......... .......... .......... 18% 31.2M 27s 49100K .......... .......... .......... .......... .......... 18% 23.1M 27s 49150K .......... .......... .......... .......... .......... 18% 227K 28s 49200K .......... .......... .......... .......... .......... 18% 142M 28s 49250K .......... .......... .......... .......... .......... 18% 147M 28s 49300K .......... .......... .......... .......... .......... 18% 152M 28s 49350K .......... .......... .......... .......... .......... 18% 115M 28s 49400K .......... .......... .......... .......... .......... 18% 121M 28s 49450K .......... .......... .......... .......... .......... 18% 107M 28s 49500K .......... .......... .......... .......... .......... 18% 117M 28s 49550K .......... .......... .......... .......... .......... 18% 32.9M 28s 49600K .......... .......... .......... .......... .......... 18% 32.6M 28s 49650K .......... .......... .......... .......... .......... 18% 42.1M 28s 49700K .......... .......... .......... .......... .......... 18% 40.5M 28s 49750K .......... .......... .......... .......... .......... 18% 30.3M 28s 49800K .......... .......... .......... .......... .......... 18% 25.6M 28s 49850K .......... .......... .......... .......... .......... 18% 27.0M 28s 49900K .......... .......... .......... .......... .......... 18% 32.9M 28s 49950K .......... .......... .......... .......... .......... 18% 31.9M 28s 50000K .......... .......... .......... .......... .......... 18% 19.9M 28s 50050K .......... .......... .......... .......... .......... 18% 24.7M 28s 50100K .......... .......... .......... .......... .......... 19% 18.0M 28s 50150K .......... .......... .......... .......... .......... 19% 185K 29s 50200K .......... .......... .......... .......... .......... 19% 138M 29s 50250K .......... .......... .......... .......... .......... 19% 117M 29s 50300K .......... .......... .......... .......... .......... 19% 121M 29s 50350K .......... .......... .......... .......... .......... 19% 90.9M 29s 50400K .......... .......... .......... .......... .......... 19% 70.8M 29s 50450K .......... .......... .......... .......... .......... 19% 121M 29s 50500K .......... .......... .......... .......... .......... 19% 138M 29s 50550K .......... .......... .......... .......... .......... 19% 135M 29s 50600K .......... .......... .......... .......... .......... 19% 103M 29s 50650K .......... .......... .......... .......... .......... 19% 103M 29s 50700K .......... .......... .......... .......... .......... 19% 52.5M 29s 50750K .......... .......... .......... .......... .......... 19% 49.2M 29s 50800K .......... .......... .......... .......... .......... 19% 70.5M 29s 50850K .......... .......... .......... .......... .......... 19% 46.7M 28s 50900K .......... .......... .......... .......... .......... 19% 48.3M 28s 50950K .......... .......... .......... .......... .......... 19% 70.2M 28s 51000K .......... .......... .......... .......... .......... 19% 45.7M 28s 51050K .......... .......... .......... .......... .......... 19% 47.0M 28s 51100K .......... .......... .......... .......... .......... 19% 27.1M 28s 51150K .......... .......... .......... .......... .......... 19% 39.3M 28s 51200K .......... .......... .......... .......... .......... 19% 39.7M 28s 51250K .......... .......... .......... .......... .......... 19% 21.4M 28s 51300K .......... .......... .......... .......... .......... 19% 31.7M 28s 51350K .......... .......... .......... .......... .......... 19% 36.0M 28s 51400K .......... .......... .......... .......... .......... 19% 36.7M 28s 51450K .......... .......... .......... .......... .......... 19% 44.8M 28s 51500K .......... .......... .......... .......... .......... 19% 27.9M 28s 51550K .......... .......... .......... .......... .......... 19% 32.4M 28s 51600K .......... .......... .......... .......... .......... 19% 23.7M 28s 51650K .......... .......... .......... .......... .......... 19% 53.6M 28s 51700K .......... .......... .......... .......... .......... 19% 23.0M 28s 51750K .......... .......... .......... .......... .......... 19% 33.3M 28s 51800K .......... .......... .......... .......... .......... 19% 70.0M 28s 51850K .......... .......... .......... .......... .......... 19% 50.9M 28s 51900K .......... .......... .......... .......... .......... 19% 66.3M 28s 51950K .......... .......... .......... .......... .......... 19% 68.0M 28s 52000K .......... .......... .......... .......... .......... 19% 58.8M 28s 52050K .......... .......... .......... .......... .......... 19% 78.9M 28s 52100K .......... .......... .......... .......... .......... 19% 53.4M 28s 52150K .......... .......... .......... .......... .......... 19% 50.3M 28s 52200K .......... .......... .......... .......... .......... 19% 357K 28s 52250K .......... .......... .......... .......... .......... 19% 151M 28s 52300K .......... .......... .......... .......... .......... 19% 171M 28s 52350K .......... .......... .......... .......... .......... 19% 126M 28s 52400K .......... .......... .......... .......... .......... 19% 161M 28s 52450K .......... .......... .......... .......... .......... 19% 97.5M 28s 52500K .......... .......... .......... .......... .......... 19% 81.9M 28s 52550K .......... .......... .......... .......... .......... 19% 115M 28s 52600K .......... .......... .......... .......... .......... 19% 117M 28s 52650K .......... .......... .......... .......... .......... 19% 157M 28s 52700K .......... .......... .......... .......... .......... 20% 48.7M 28s 52750K .......... .......... .......... .......... .......... 20% 78.6M 28s 52800K .......... .......... .......... .......... .......... 20% 33.1M 28s 52850K .......... .......... .......... .......... .......... 20% 46.4M 28s 52900K .......... .......... .......... .......... .......... 20% 7.54M 28s 52950K .......... .......... .......... .......... .......... 20% 113M 28s 53000K .......... .......... .......... .......... .......... 20% 153M 28s 53050K .......... .......... .......... .......... .......... 20% 31.4M 28s 53100K .......... .......... .......... .......... .......... 20% 58.6M 28s 53150K .......... .......... .......... .......... .......... 20% 25.5M 28s 53200K .......... .......... .......... .......... .......... 20% 417K 28s 53250K .......... .......... .......... .......... .......... 20% 131M 28s 53300K .......... .......... .......... .......... .......... 20% 111M 28s 53350K .......... .......... .......... .......... .......... 20% 103M 28s 53400K .......... .......... .......... .......... .......... 20% 192M 28s 53450K .......... .......... .......... .......... .......... 20% 94.6M 28s 53500K .......... .......... .......... .......... .......... 20% 147M 28s 53550K .......... .......... .......... .......... .......... 20% 142M 28s 53600K .......... .......... .......... .......... .......... 20% 155M 28s 53650K .......... .......... .......... .......... .......... 20% 55.1M 28s 53700K .......... .......... .......... .......... .......... 20% 45.3M 28s 53750K .......... .......... .......... .......... .......... 20% 49.0M 28s 53800K .......... .......... .......... .......... .......... 20% 54.5M 28s 53850K .......... .......... .......... .......... .......... 20% 33.2M 28s 53900K .......... .......... .......... .......... .......... 20% 49.1M 28s 53950K .......... .......... .......... .......... .......... 20% 50.0M 28s 54000K .......... .......... .......... .......... .......... 20% 37.8M 28s 54050K .......... .......... .......... .......... .......... 20% 45.8M 28s 54100K .......... .......... .......... .......... .......... 20% 42.1M 28s 54150K .......... .......... .......... .......... .......... 20% 45.4M 28s 54200K .......... .......... .......... .......... .......... 20% 35.3M 28s 54250K .......... .......... .......... .......... .......... 20% 73.0M 28s 54300K .......... .......... .......... .......... .......... 20% 44.0M 28s 54350K .......... .......... .......... .......... .......... 20% 27.9M 27s 54400K .......... .......... .......... .......... .......... 20% 75.5M 27s 54450K .......... .......... .......... .......... .......... 20% 49.3M 27s 54500K .......... .......... .......... .......... .......... 20% 41.4M 27s 54550K .......... .......... .......... .......... .......... 20% 40.0M 27s 54600K .......... .......... .......... .......... .......... 20% 44.0M 27s 54650K .......... .......... .......... .......... .......... 20% 40.4M 27s 54700K .......... .......... .......... .......... .......... 20% 46.5M 27s 54750K .......... .......... .......... .......... .......... 20% 53.7M 27s 54800K .......... .......... .......... .......... .......... 20% 44.1M 27s 54850K .......... .......... .......... .......... .......... 20% 40.3M 27s 54900K .......... .......... .......... .......... .......... 20% 43.7M 27s 54950K .......... .......... .......... .......... .......... 20% 36.5M 27s 55000K .......... .......... .......... .......... .......... 20% 36.7M 27s 55050K .......... .......... .......... .......... .......... 20% 59.4M 27s 55100K .......... .......... .......... .......... .......... 20% 41.7M 27s 55150K .......... .......... .......... .......... .......... 20% 46.2M 27s 55200K .......... .......... .......... .......... .......... 20% 45.7M 27s 55250K .......... .......... .......... .......... .......... 20% 248K 28s 55300K .......... .......... .......... .......... .......... 20% 109M 28s 55350K .......... .......... .......... .......... .......... 21% 148M 28s 55400K .......... .......... .......... .......... .......... 21% 149M 28s 55450K .......... .......... .......... .......... .......... 21% 151M 28s 55500K .......... .......... .......... .......... .......... 21% 123M 28s 55550K .......... .......... .......... .......... .......... 21% 140M 28s 55600K .......... .......... .......... .......... .......... 21% 117M 28s 55650K .......... .......... .......... .......... .......... 21% 126M 28s 55700K .......... .......... .......... .......... .......... 21% 104M 27s 55750K .......... .......... .......... .......... .......... 21% 22.4M 27s 55800K .......... .......... .......... .......... .......... 21% 115M 27s 55850K .......... .......... .......... .......... .......... 21% 101M 27s 55900K .......... .......... .......... .......... .......... 21% 136M 27s 55950K .......... .......... .......... .......... .......... 21% 96.5M 27s 56000K .......... .......... .......... .......... .......... 21% 136M 27s 56050K .......... .......... .......... .......... .......... 21% 124M 27s 56100K .......... .......... .......... .......... .......... 21% 100M 27s 56150K .......... .......... .......... .......... .......... 21% 65.9M 27s 56200K .......... .......... .......... .......... .......... 21% 54.7M 27s 56250K .......... .......... .......... .......... .......... 21% 27.6M 27s 56300K .......... .......... .......... .......... .......... 21% 184K 28s 56350K .......... .......... .......... .......... .......... 21% 118M 28s 56400K .......... .......... .......... .......... .......... 21% 155M 28s 56450K .......... .......... .......... .......... .......... 21% 88.9M 28s 56500K .......... .......... .......... .......... .......... 21% 130M 28s 56550K .......... .......... .......... .......... .......... 21% 157M 28s 56600K .......... .......... .......... .......... .......... 21% 83.9M 28s 56650K .......... .......... .......... .......... .......... 21% 147M 28s 56700K .......... .......... .......... .......... .......... 21% 100M 28s 56750K .......... .......... .......... .......... .......... 21% 95.6M 28s 56800K .......... .......... .......... .......... .......... 21% 55.5M 28s 56850K .......... .......... .......... .......... .......... 21% 45.3M 28s 56900K .......... .......... .......... .......... .......... 21% 93.0M 28s 56950K .......... .......... .......... .......... .......... 21% 40.3M 28s 57000K .......... .......... .......... .......... .......... 21% 90.1M 28s 57050K .......... .......... .......... .......... .......... 21% 41.8M 28s 57100K .......... .......... .......... .......... .......... 21% 53.7M 28s 57150K .......... .......... .......... .......... .......... 21% 54.4M 28s 57200K .......... .......... .......... .......... .......... 21% 37.7M 28s 57250K .......... .......... .......... .......... .......... 21% 48.1M 28s 57300K .......... .......... .......... .......... .......... 21% 62.2M 28s 57350K .......... .......... .......... .......... .......... 21% 52.2M 28s 57400K .......... .......... .......... .......... .......... 21% 62.8M 28s 57450K .......... .......... .......... .......... .......... 21% 44.3M 27s 57500K .......... .......... .......... .......... .......... 21% 71.2M 27s 57550K .......... .......... .......... .......... .......... 21% 65.4M 27s 57600K .......... .......... .......... .......... .......... 21% 47.4M 27s 57650K .......... .......... .......... .......... .......... 21% 61.3M 27s 57700K .......... .......... .......... .......... .......... 21% 65.5M 27s 57750K .......... .......... .......... .......... .......... 21% 58.2M 27s 57800K .......... .......... .......... .......... .......... 21% 74.7M 27s 57850K .......... .......... .......... .......... .......... 21% 37.0M 27s 57900K .......... .......... .......... .......... .......... 21% 58.0M 27s 57950K .......... .......... .......... .......... .......... 21% 44.3M 27s 58000K .......... .......... .......... .......... .......... 22% 31.1M 27s 58050K .......... .......... .......... .......... .......... 22% 198M 27s 58100K .......... .......... .......... .......... .......... 22% 45.4M 27s 58150K .......... .......... .......... .......... .......... 22% 31.4M 27s 58200K .......... .......... .......... .......... .......... 22% 30.2M 27s 58250K .......... .......... .......... .......... .......... 22% 83.6M 27s 58300K .......... .......... .......... .......... .......... 22% 9.73M 27s 58350K .......... .......... .......... .......... .......... 22% 193K 28s 58400K .......... .......... .......... .......... .......... 22% 110M 28s 58450K .......... .......... .......... .......... .......... 22% 86.3M 28s 58500K .......... .......... .......... .......... .......... 22% 144M 28s 58550K .......... .......... .......... .......... .......... 22% 126M 28s 58600K .......... .......... .......... .......... .......... 22% 105M 28s 58650K .......... .......... .......... .......... .......... 22% 139M 28s 58700K .......... .......... .......... .......... .......... 22% 17.8M 28s 58750K .......... .......... .......... .......... .......... 22% 19.9M 28s 58800K .......... .......... .......... .......... .......... 22% 27.5M 28s 58850K .......... .......... .......... .......... .......... 22% 19.0M 28s 58900K .......... .......... .......... .......... .......... 22% 19.3M 28s 58950K .......... .......... .......... .......... .......... 22% 29.2M 28s 59000K .......... .......... .......... .......... .......... 22% 36.0M 28s 59050K .......... .......... .......... .......... .......... 22% 31.5M 28s 59100K .......... .......... .......... .......... .......... 22% 30.9M 28s 59150K .......... .......... .......... .......... .......... 22% 14.9M 28s 59200K .......... .......... .......... .......... .......... 22% 110M 27s 59250K .......... .......... .......... .......... .......... 22% 17.9M 27s 59300K .......... .......... .......... .......... .......... 22% 34.1M 27s 59350K .......... .......... .......... .......... .......... 22% 187K 28s 59400K .......... .......... .......... .......... .......... 22% 130M 28s 59450K .......... .......... .......... .......... .......... 22% 122M 28s 59500K .......... .......... .......... .......... .......... 22% 115M 28s 59550K .......... .......... .......... .......... .......... 22% 148M 28s 59600K .......... .......... .......... .......... .......... 22% 133M 28s 59650K .......... .......... .......... .......... .......... 22% 122M 28s 59700K .......... .......... .......... .......... .......... 22% 103M 28s 59750K .......... .......... .......... .......... .......... 22% 151M 28s 59800K .......... .......... .......... .......... .......... 22% 35.2M 28s 59850K .......... .......... .......... .......... .......... 22% 22.1M 28s 59900K .......... .......... .......... .......... .......... 22% 33.7M 28s 59950K .......... .......... .......... .......... .......... 22% 32.2M 28s 60000K .......... .......... .......... .......... .......... 22% 36.9M 28s 60050K .......... .......... .......... .......... .......... 22% 38.5M 28s 60100K .......... .......... .......... .......... .......... 22% 34.3M 28s 60150K .......... .......... .......... .......... .......... 22% 44.1M 28s 60200K .......... .......... .......... .......... .......... 22% 28.8M 28s 60250K .......... .......... .......... .......... .......... 22% 29.3M 28s 60300K .......... .......... .......... .......... .......... 22% 19.6M 28s 60350K .......... .......... .......... .......... .......... 22% 123M 28s 60400K .......... .......... .......... .......... .......... 22% 40.5M 28s 60450K .......... .......... .......... .......... .......... 22% 19.8M 28s 60500K .......... .......... .......... .......... .......... 22% 39.7M 28s 60550K .......... .......... .......... .......... .......... 22% 40.3M 28s 60600K .......... .......... .......... .......... .......... 22% 38.5M 28s 60650K .......... .......... .......... .......... .......... 23% 40.5M 28s 60700K .......... .......... .......... .......... .......... 23% 43.1M 28s 60750K .......... .......... .......... .......... .......... 23% 33.2M 28s 60800K .......... .......... .......... .......... .......... 23% 38.9M 28s 60850K .......... .......... .......... .......... .......... 23% 32.9M 28s 60900K .......... .......... .......... .......... .......... 23% 35.0M 28s 60950K .......... .......... .......... .......... .......... 23% 27.3M 28s 61000K .......... .......... .......... .......... .......... 23% 35.4M 27s 61050K .......... .......... .......... .......... .......... 23% 39.2M 27s 61100K .......... .......... .......... .......... .......... 23% 30.4M 27s 61150K .......... .......... .......... .......... .......... 23% 41.4M 27s 61200K .......... .......... .......... .......... .......... 23% 39.1M 27s 61250K .......... .......... .......... .......... .......... 23% 41.5M 27s 61300K .......... .......... .......... .......... .......... 23% 53.1M 27s 61350K .......... .......... .......... .......... .......... 23% 33.3M 27s 61400K .......... .......... .......... .......... .......... 23% 191K 28s 61450K .......... .......... .......... .......... .......... 23% 122M 28s 61500K .......... .......... .......... .......... .......... 23% 176M 28s 61550K .......... .......... .......... .......... .......... 23% 125M 28s 61600K .......... .......... .......... .......... .......... 23% 109M 28s 61650K .......... .......... .......... .......... .......... 23% 116M 28s 61700K .......... .......... .......... .......... .......... 23% 138M 28s 61750K .......... .......... .......... .......... .......... 23% 103M 28s 61800K .......... .......... .......... .......... .......... 23% 152M 28s 61850K .......... .......... .......... .......... .......... 23% 39.0M 28s 61900K .......... .......... .......... .......... .......... 23% 26.9M 28s 61950K .......... .......... .......... .......... .......... 23% 14.6M 28s 62000K .......... .......... .......... .......... .......... 23% 15.2M 28s 62050K .......... .......... .......... .......... .......... 23% 64.8M 28s 62100K .......... .......... .......... .......... .......... 23% 30.0M 28s 62150K .......... .......... .......... .......... .......... 23% 27.6M 28s 62200K .......... .......... .......... .......... .......... 23% 24.4M 28s 62250K .......... .......... .......... .......... .......... 23% 34.3M 28s 62300K .......... .......... .......... .......... .......... 23% 45.6M 28s 62350K .......... .......... .......... .......... .......... 23% 30.2M 28s 62400K .......... .......... .......... .......... .......... 23% 33.0M 28s 62450K .......... .......... .......... .......... .......... 23% 149K 29s 62500K .......... .......... .......... .......... .......... 23% 147M 29s 62550K .......... .......... .......... .......... .......... 23% 118M 29s 62600K .......... .......... .......... .......... .......... 23% 84.6M 29s 62650K .......... .......... .......... .......... .......... 23% 98.8M 29s 62700K .......... .......... .......... .......... .......... 23% 86.0M 29s 62750K .......... .......... .......... .......... .......... 23% 94.8M 29s 62800K .......... .......... .......... .......... .......... 23% 127M 28s 62850K .......... .......... .......... .......... .......... 23% 122M 28s 62900K .......... .......... .......... .......... .......... 23% 140M 28s 62950K .......... .......... .......... .......... .......... 23% 68.8M 28s 63000K .......... .......... .......... .......... .......... 23% 62.1M 28s 63050K .......... .......... .......... .......... .......... 23% 67.3M 28s 63100K .......... .......... .......... .......... .......... 23% 50.5M 28s 63150K .......... .......... .......... .......... .......... 23% 115M 28s 63200K .......... .......... .......... .......... .......... 23% 42.5M 28s 63250K .......... .......... .......... .......... .......... 24% 53.2M 28s 63300K .......... .......... .......... .......... .......... 24% 76.3M 28s 63350K .......... .......... .......... .......... .......... 24% 76.7M 28s 63400K .......... .......... .......... .......... .......... 24% 54.4M 28s 63450K .......... .......... .......... .......... .......... 24% 56.9M 28s 63500K .......... .......... .......... .......... .......... 24% 48.3M 28s 63550K .......... .......... .......... .......... .......... 24% 113M 28s 63600K .......... .......... .......... .......... .......... 24% 59.2M 28s 63650K .......... .......... .......... .......... .......... 24% 55.1M 28s 63700K .......... .......... .......... .......... .......... 24% 84.0M 28s 63750K .......... .......... .......... .......... .......... 24% 60.3M 28s 63800K .......... .......... .......... .......... .......... 24% 99.6M 28s 63850K .......... .......... .......... .......... .......... 24% 51.4M 28s 63900K .......... .......... .......... .......... .......... 24% 136M 28s 63950K .......... .......... .......... .......... .......... 24% 51.4M 28s 64000K .......... .......... .......... .......... .......... 24% 51.2M 28s 64050K .......... .......... .......... .......... .......... 24% 107M 28s 64100K .......... .......... .......... .......... .......... 24% 53.4M 28s 64150K .......... .......... .......... .......... .......... 24% 105M 28s 64200K .......... .......... .......... .......... .......... 24% 44.3M 28s 64250K .......... .......... .......... .......... .......... 24% 40.9M 28s 64300K .......... .......... .......... .......... .......... 24% 72.3M 28s 64350K .......... .......... .......... .......... .......... 24% 45.7M 28s 64400K .......... .......... .......... .......... .......... 24% 103M 28s 64450K .......... .......... .......... .......... .......... 24% 63.6M 28s 64500K .......... .......... .......... .......... .......... 24% 177K 28s 64550K .......... .......... .......... .......... .......... 24% 109M 28s 64600K .......... .......... .......... .......... .......... 24% 94.3M 28s 64650K .......... .......... .......... .......... .......... 24% 164M 28s 64700K .......... .......... .......... .......... .......... 24% 109M 28s 64750K .......... .......... .......... .......... .......... 24% 142M 28s 64800K .......... .......... .......... .......... .......... 24% 170M 28s 64850K .......... .......... .......... .......... .......... 24% 112M 28s 64900K .......... .......... .......... .......... .......... 24% 54.8M 28s 64950K .......... .......... .......... .......... .......... 24% 36.7M 28s 65000K .......... .......... .......... .......... .......... 24% 44.0M 28s 65050K .......... .......... .......... .......... .......... 24% 39.0M 28s 65100K .......... .......... .......... .......... .......... 24% 41.2M 28s 65150K .......... .......... .......... .......... .......... 24% 39.2M 28s 65200K .......... .......... .......... .......... .......... 24% 33.3M 28s 65250K .......... .......... .......... .......... .......... 24% 38.2M 28s 65300K .......... .......... .......... .......... .......... 24% 52.0M 28s 65350K .......... .......... .......... .......... .......... 24% 65.5M 28s 65400K .......... .......... .......... .......... .......... 24% 70.5M 28s 65450K .......... .......... .......... .......... .......... 24% 66.6M 28s 65500K .......... .......... .......... .......... .......... 24% 292K 28s 65550K .......... .......... .......... .......... .......... 24% 143M 28s 65600K .......... .......... .......... .......... .......... 24% 99.6M 28s 65650K .......... .......... .......... .......... .......... 24% 110M 28s 65700K .......... .......... .......... .......... .......... 24% 101M 28s 65750K .......... .......... .......... .......... .......... 24% 126M 28s 65800K .......... .......... .......... .......... .......... 24% 126M 28s 65850K .......... .......... .......... .......... .......... 24% 125M 28s 65900K .......... .......... .......... .......... .......... 25% 96.1M 28s 65950K .......... .......... .......... .......... .......... 25% 12.2M 28s 66000K .......... .......... .......... .......... .......... 25% 123M 28s 66050K .......... .......... .......... .......... .......... 25% 135M 28s 66100K .......... .......... .......... .......... .......... 25% 32.3M 28s 66150K .......... .......... .......... .......... .......... 25% 20.4M 28s 66200K .......... .......... .......... .......... .......... 25% 19.5M 28s 66250K .......... .......... .......... .......... .......... 25% 71.0M 28s 66300K .......... .......... .......... .......... .......... 25% 26.0M 28s 66350K .......... .......... .......... .......... .......... 25% 25.8M 28s 66400K .......... .......... .......... .......... .......... 25% 90.6M 28s 66450K .......... .......... .......... .......... .......... 25% 28.7M 28s 66500K .......... .......... .......... .......... .......... 25% 47.2M 28s 66550K .......... .......... .......... .......... .......... 25% 13.3M 28s 66600K .......... .......... .......... .......... .......... 25% 95.7M 28s 66650K .......... .......... .......... .......... .......... 25% 20.6M 28s 66700K .......... .......... .......... .......... .......... 25% 13.5M 28s 66750K .......... .......... .......... .......... .......... 25% 14.2M 28s 66800K .......... .......... .......... .......... .......... 25% 27.7M 28s 66850K .......... .......... .......... .......... .......... 25% 28.9M 28s 66900K .......... .......... .......... .......... .......... 25% 37.0M 28s 66950K .......... .......... .......... .......... .......... 25% 23.5M 28s 67000K .......... .......... .......... .......... .......... 25% 26.7M 28s 67050K .......... .......... .......... .......... .......... 25% 32.6M 28s 67100K .......... .......... .......... .......... .......... 25% 22.1M 28s 67150K .......... .......... .......... .......... .......... 25% 27.0M 28s 67200K .......... .......... .......... .......... .......... 25% 39.5M 28s 67250K .......... .......... .......... .......... .......... 25% 51.2M 28s 67300K .......... .......... .......... .......... .......... 25% 45.5M 28s 67350K .......... .......... .......... .......... .......... 25% 42.2M 28s 67400K .......... .......... .......... .......... .......... 25% 50.0M 28s 67450K .......... .......... .......... .......... .......... 25% 44.0M 28s 67500K .......... .......... .......... .......... .......... 25% 48.2M 27s 67550K .......... .......... .......... .......... .......... 25% 1.01M 28s 67600K .......... .......... .......... .......... .......... 25% 34.8M 28s 67650K .......... .......... .......... .......... .......... 25% 96.3M 28s 67700K .......... .......... .......... .......... .......... 25% 42.9M 28s 67750K .......... .......... .......... .......... .......... 25% 91.6M 27s 67800K .......... .......... .......... .......... .......... 25% 112M 27s 67850K .......... .......... .......... .......... .......... 25% 73.2M 27s 67900K .......... .......... .......... .......... .......... 25% 81.5M 27s 67950K .......... .......... .......... .......... .......... 25% 83.3M 27s 68000K .......... .......... .......... .......... .......... 25% 57.4M 27s 68050K .......... .......... .......... .......... .......... 25% 64.7M 27s 68100K .......... .......... .......... .......... .......... 25% 54.3M 27s 68150K .......... .......... .......... .......... .......... 25% 81.5M 27s 68200K .......... .......... .......... .......... .......... 25% 52.9M 27s 68250K .......... .......... .......... .......... .......... 25% 73.9M 27s 68300K .......... .......... .......... .......... .......... 25% 67.2M 27s 68350K .......... .......... .......... .......... .......... 25% 54.8M 27s 68400K .......... .......... .......... .......... .......... 25% 61.2M 27s 68450K .......... .......... .......... .......... .......... 25% 59.3M 27s 68500K .......... .......... .......... .......... .......... 25% 95.7M 27s 68550K .......... .......... .......... .......... .......... 26% 66.1M 27s 68600K .......... .......... .......... .......... .......... 26% 421K 27s 68650K .......... .......... .......... .......... .......... 26% 144M 27s 68700K .......... .......... .......... .......... .......... 26% 140M 27s 68750K .......... .......... .......... .......... .......... 26% 162M 27s 68800K .......... .......... .......... .......... .......... 26% 142M 27s 68850K .......... .......... .......... .......... .......... 26% 88.5M 27s 68900K .......... .......... .......... .......... .......... 26% 112M 27s 68950K .......... .......... .......... .......... .......... 26% 109M 27s 69000K .......... .......... .......... .......... .......... 26% 73.4M 27s 69050K .......... .......... .......... .......... .......... 26% 54.6M 27s 69100K .......... .......... .......... .......... .......... 26% 67.8M 27s 69150K .......... .......... .......... .......... .......... 26% 42.5M 27s 69200K .......... .......... .......... .......... .......... 26% 44.9M 27s 69250K .......... .......... .......... .......... .......... 26% 41.9M 27s 69300K .......... .......... .......... .......... .......... 26% 41.0M 27s 69350K .......... .......... .......... .......... .......... 26% 37.0M 27s 69400K .......... .......... .......... .......... .......... 26% 65.2M 27s 69450K .......... .......... .......... .......... .......... 26% 44.8M 27s 69500K .......... .......... .......... .......... .......... 26% 108M 27s 69550K .......... .......... .......... .......... .......... 26% 68.1M 27s 69600K .......... .......... .......... .......... .......... 26% 82.3M 27s 69650K .......... .......... .......... .......... .......... 26% 36.6M 27s 69700K .......... .......... .......... .......... .......... 26% 68.3M 27s 69750K .......... .......... .......... .......... .......... 26% 58.3M 27s 69800K .......... .......... .......... .......... .......... 26% 122M 27s 69850K .......... .......... .......... .......... .......... 26% 51.6M 27s 69900K .......... .......... .......... .......... .......... 26% 88.5M 27s 69950K .......... .......... .......... .......... .......... 26% 69.9M 27s 70000K .......... .......... .......... .......... .......... 26% 71.7M 27s 70050K .......... .......... .......... .......... .......... 26% 122M 27s 70100K .......... .......... .......... .......... .......... 26% 44.1M 27s 70150K .......... .......... .......... .......... .......... 26% 61.7M 27s 70200K .......... .......... .......... .......... .......... 26% 78.9M 27s 70250K .......... .......... .......... .......... .......... 26% 66.4M 27s 70300K .......... .......... .......... .......... .......... 26% 73.4M 27s 70350K .......... .......... .......... .......... .......... 26% 50.0M 27s 70400K .......... .......... .......... .......... .......... 26% 90.8M 27s 70450K .......... .......... .......... .......... .......... 26% 57.4M 27s 70500K .......... .......... .......... .......... .......... 26% 64.8M 26s 70550K .......... .......... .......... .......... .......... 26% 115M 26s 70600K .......... .......... .......... .......... .......... 26% 47.4M 26s 70650K .......... .......... .......... .......... .......... 26% 136K 27s 70700K .......... .......... .......... .......... .......... 26% 125M 27s 70750K .......... .......... .......... .......... .......... 26% 121M 27s 70800K .......... .......... .......... .......... .......... 26% 142M 27s 70850K .......... .......... .......... .......... .......... 26% 120M 27s 70900K .......... .......... .......... .......... .......... 26% 140M 27s 70950K .......... .......... .......... .......... .......... 26% 19.9M 27s 71000K .......... .......... .......... .......... .......... 26% 20.3M 27s 71050K .......... .......... .......... .......... .......... 26% 85.4M 27s 71100K .......... .......... .......... .......... .......... 26% 23.1M 27s 71150K .......... .......... .......... .......... .......... 26% 104M 27s 71200K .......... .......... .......... .......... .......... 27% 23.3M 27s 71250K .......... .......... .......... .......... .......... 27% 113M 27s 71300K .......... .......... .......... .......... .......... 27% 20.5M 27s 71350K .......... .......... .......... .......... .......... 27% 98.4M 27s 71400K .......... .......... .......... .......... .......... 27% 100M 27s 71450K .......... .......... .......... .......... .......... 27% 102M 27s 71500K .......... .......... .......... .......... .......... 27% 109M 27s 71550K .......... .......... .......... .......... .......... 27% 99.9M 27s 71600K .......... .......... .......... .......... .......... 27% 58.9M 27s 71650K .......... .......... .......... .......... .......... 27% 165K 28s 71700K .......... .......... .......... .......... .......... 27% 130M 28s 71750K .......... .......... .......... .......... .......... 27% 159M 28s 71800K .......... .......... .......... .......... .......... 27% 141M 28s 71850K .......... .......... .......... .......... .......... 27% 110M 28s 71900K .......... .......... .......... .......... .......... 27% 107M 28s 71950K .......... .......... .......... .......... .......... 27% 111M 28s 72000K .......... .......... .......... .......... .......... 27% 138M 28s 72050K .......... .......... .......... .......... .......... 27% 26.3M 28s 72100K .......... .......... .......... .......... .......... 27% 24.5M 28s 72150K .......... .......... .......... .......... .......... 27% 90.9M 28s 72200K .......... .......... .......... .......... .......... 27% 22.8M 27s 72250K .......... .......... .......... .......... .......... 27% 25.4M 27s 72300K .......... .......... .......... .......... .......... 27% 84.9M 27s 72350K .......... .......... .......... .......... .......... 27% 30.4M 27s 72400K .......... .......... .......... .......... .......... 27% 65.4M 27s 72450K .......... .......... .......... .......... .......... 27% 25.2M 27s 72500K .......... .......... .......... .......... .......... 27% 28.9M 27s 72550K .......... .......... .......... .......... .......... 27% 39.1M 27s 72600K .......... .......... .......... .......... .......... 27% 21.2M 27s 72650K .......... .......... .......... .......... .......... 27% 38.4M 27s 72700K .......... .......... .......... .......... .......... 27% 1.48M 27s 72750K .......... .......... .......... .......... .......... 27% 198M 27s 72800K .......... .......... .......... .......... .......... 27% 106M 27s 72850K .......... .......... .......... .......... .......... 27% 104M 27s 72900K .......... .......... .......... .......... .......... 27% 97.7M 27s 72950K .......... .......... .......... .......... .......... 27% 127M 27s 73000K .......... .......... .......... .......... .......... 27% 155M 27s 73050K .......... .......... .......... .......... .......... 27% 109M 27s 73100K .......... .......... .......... .......... .......... 27% 99.2M 27s 73150K .......... .......... .......... .......... .......... 27% 110M 27s 73200K .......... .......... .......... .......... .......... 27% 52.5M 27s 73250K .......... .......... .......... .......... .......... 27% 86.0M 27s 73300K .......... .......... .......... .......... .......... 27% 52.4M 27s 73350K .......... .......... .......... .......... .......... 27% 68.5M 27s 73400K .......... .......... .......... .......... .......... 27% 44.6M 27s 73450K .......... .......... .......... .......... .......... 27% 62.8M 27s 73500K .......... .......... .......... .......... .......... 27% 88.3M 27s 73550K .......... .......... .......... .......... .......... 27% 52.2M 27s 73600K .......... .......... .......... .......... .......... 27% 58.1M 27s 73650K .......... .......... .......... .......... .......... 27% 62.9M 27s 73700K .......... .......... .......... .......... .......... 27% 232K 27s 73750K .......... .......... .......... .......... .......... 27% 189M 27s 73800K .......... .......... .......... .......... .......... 28% 170M 27s 73850K .......... .......... .......... .......... .......... 28% 68.5M 27s 73900K .......... .......... .......... .......... .......... 28% 119M 27s 73950K .......... .......... .......... .......... .......... 28% 119M 27s 74000K .......... .......... .......... .......... .......... 28% 213M 27s 74050K .......... .......... .......... .......... .......... 28% 140M 27s 74100K .......... .......... .......... .......... .......... 28% 95.2M 27s 74150K .......... .......... .......... .......... .......... 28% 84.3M 27s 74200K .......... .......... .......... .......... .......... 28% 56.9M 27s 74250K .......... .......... .......... .......... .......... 28% 87.5M 27s 74300K .......... .......... .......... .......... .......... 28% 76.1M 27s 74350K .......... .......... .......... .......... .......... 28% 72.7M 27s 74400K .......... .......... .......... .......... .......... 28% 68.1M 27s 74450K .......... .......... .......... .......... .......... 28% 42.6M 27s 74500K .......... .......... .......... .......... .......... 28% 83.9M 27s 74550K .......... .......... .......... .......... .......... 28% 67.2M 27s 74600K .......... .......... .......... .......... .......... 28% 32.8M 27s 74650K .......... .......... .......... .......... .......... 28% 98.8M 27s 74700K .......... .......... .......... .......... .......... 28% 14.9M 27s 74750K .......... .......... .......... .......... .......... 28% 97.1M 27s 74800K .......... .......... .......... .......... .......... 28% 143M 27s 74850K .......... .......... .......... .......... .......... 28% 120M 27s 74900K .......... .......... .......... .......... .......... 28% 133M 27s 74950K .......... .......... .......... .......... .......... 28% 55.0M 27s 75000K .......... .......... .......... .......... .......... 28% 56.4M 27s 75050K .......... .......... .......... .......... .......... 28% 45.5M 27s 75100K .......... .......... .......... .......... .......... 28% 51.8M 27s 75150K .......... .......... .......... .......... .......... 28% 15.1M 27s 75200K .......... .......... .......... .......... .......... 28% 73.6M 27s 75250K .......... .......... .......... .......... .......... 28% 116M 27s 75300K .......... .......... .......... .......... .......... 28% 46.0M 27s 75350K .......... .......... .......... .......... .......... 28% 51.0M 27s 75400K .......... .......... .......... .......... .......... 28% 86.7M 27s 75450K .......... .......... .......... .......... .......... 28% 47.4M 27s 75500K .......... .......... .......... .......... .......... 28% 46.7M 27s 75550K .......... .......... .......... .......... .......... 28% 31.7M 27s 75600K .......... .......... .......... .......... .......... 28% 29.4M 27s 75650K .......... .......... .......... .......... .......... 28% 23.6M 27s 75700K .......... .......... .......... .......... .......... 28% 21.8M 27s 75750K .......... .......... .......... .......... .......... 28% 181K 27s 75800K .......... .......... .......... .......... .......... 28% 114M 27s 75850K .......... .......... .......... .......... .......... 28% 144M 27s 75900K .......... .......... .......... .......... .......... 28% 154M 27s 75950K .......... .......... .......... .......... .......... 28% 98.4M 27s 76000K .......... .......... .......... .......... .......... 28% 132M 27s 76050K .......... .......... .......... .......... .......... 28% 129M 27s 76100K .......... .......... .......... .......... .......... 28% 106M 27s 76150K .......... .......... .......... .......... .......... 28% 110M 27s 76200K .......... .......... .......... .......... .......... 28% 45.1M 27s 76250K .......... .......... .......... .......... .......... 28% 50.5M 27s 76300K .......... .......... .......... .......... .......... 28% 46.0M 27s 76350K .......... .......... .......... .......... .......... 28% 36.7M 27s 76400K .......... .......... .......... .......... .......... 28% 54.0M 27s 76450K .......... .......... .......... .......... .......... 29% 56.6M 27s 76500K .......... .......... .......... .......... .......... 29% 53.5M 27s 76550K .......... .......... .......... .......... .......... 29% 62.2M 27s 76600K .......... .......... .......... .......... .......... 29% 41.2M 27s 76650K .......... .......... .......... .......... .......... 29% 56.7M 27s 76700K .......... .......... .......... .......... .......... 29% 46.0M 27s 76750K .......... .......... .......... .......... .......... 29% 53.8M 27s 76800K .......... .......... .......... .......... .......... 29% 360K 27s 76850K .......... .......... .......... .......... .......... 29% 112M 27s 76900K .......... .......... .......... .......... .......... 29% 156M 27s 76950K .......... .......... .......... .......... .......... 29% 112M 27s 77000K .......... .......... .......... .......... .......... 29% 127M 27s 77050K .......... .......... .......... .......... .......... 29% 120M 27s 77100K .......... .......... .......... .......... .......... 29% 119M 27s 77150K .......... .......... .......... .......... .......... 29% 133M 27s 77200K .......... .......... .......... .......... .......... 29% 130M 27s 77250K .......... .......... .......... .......... .......... 29% 54.3M 27s 77300K .......... .......... .......... .......... .......... 29% 69.3M 27s 77350K .......... .......... .......... .......... .......... 29% 49.2M 27s 77400K .......... .......... .......... .......... .......... 29% 91.4M 27s 77450K .......... .......... .......... .......... .......... 29% 67.9M 27s 77500K .......... .......... .......... .......... .......... 29% 70.5M 27s 77550K .......... .......... .......... .......... .......... 29% 94.4M 27s 77600K .......... .......... .......... .......... .......... 29% 13.0M 27s 77650K .......... .......... .......... .......... .......... 29% 111M 27s 77700K .......... .......... .......... .......... .......... 29% 63.2M 27s 77750K .......... .......... .......... .......... .......... 29% 130M 27s 77800K .......... .......... .......... .......... .......... 29% 49.6M 27s 77850K .......... .......... .......... .......... .......... 29% 19.7M 27s 77900K .......... .......... .......... .......... .......... 29% 86.4M 27s 77950K .......... .......... .......... .......... .......... 29% 20.4M 27s 78000K .......... .......... .......... .......... .......... 29% 41.7M 26s 78050K .......... .......... .......... .......... .......... 29% 77.4M 26s 78100K .......... .......... .......... .......... .......... 29% 39.2M 26s 78150K .......... .......... .......... .......... .......... 29% 50.2M 26s 78200K .......... .......... .......... .......... .......... 29% 35.7M 26s 78250K .......... .......... .......... .......... .......... 29% 57.4M 26s 78300K .......... .......... .......... .......... .......... 29% 34.1M 26s 78350K .......... .......... .......... .......... .......... 29% 58.3M 26s 78400K .......... .......... .......... .......... .......... 29% 39.9M 26s 78450K .......... .......... .......... .......... .......... 29% 29.2M 26s 78500K .......... .......... .......... .......... .......... 29% 23.7M 26s 78550K .......... .......... .......... .......... .......... 29% 56.6M 26s 78600K .......... .......... .......... .......... .......... 29% 22.8M 26s 78650K .......... .......... .......... .......... .......... 29% 40.2M 26s 78700K .......... .......... .......... .......... .......... 29% 48.2M 26s 78750K .......... .......... .......... .......... .......... 29% 98.4M 26s 78800K .......... .......... .......... .......... .......... 29% 44.8M 26s 78850K .......... .......... .......... .......... .......... 29% 190K 27s 78900K .......... .......... .......... .......... .......... 29% 165M 27s 78950K .......... .......... .......... .......... .......... 29% 128M 27s 79000K .......... .......... .......... .......... .......... 29% 103M 27s 79050K .......... .......... .......... .......... .......... 29% 106M 27s 79100K .......... .......... .......... .......... .......... 30% 96.3M 27s 79150K .......... .......... .......... .......... .......... 30% 128M 27s 79200K .......... .......... .......... .......... .......... 30% 121M 27s 79250K .......... .......... .......... .......... .......... 30% 110M 27s 79300K .......... .......... .......... .......... .......... 30% 63.4M 27s 79350K .......... .......... .......... .......... .......... 30% 79.1M 27s 79400K .......... .......... .......... .......... .......... 30% 41.9M 26s 79450K .......... .......... .......... .......... .......... 30% 48.1M 26s 79500K .......... .......... .......... .......... .......... 30% 88.8M 26s 79550K .......... .......... .......... .......... .......... 30% 52.6M 26s 79600K .......... .......... .......... .......... .......... 30% 57.9M 26s 79650K .......... .......... .......... .......... .......... 30% 40.9M 26s 79700K .......... .......... .......... .......... .......... 30% 59.0M 26s 79750K .......... .......... .......... .......... .......... 30% 55.8M 26s 79800K .......... .......... .......... .......... .......... 30% 105M 26s 79850K .......... .......... .......... .......... .......... 30% 46.5M 26s 79900K .......... .......... .......... .......... .......... 30% 44.0M 26s 79950K .......... .......... .......... .......... .......... 30% 46.0M 26s 80000K .......... .......... .......... .......... .......... 30% 61.2M 26s 80050K .......... .......... .......... .......... .......... 30% 100M 26s 80100K .......... .......... .......... .......... .......... 30% 60.5M 26s 80150K .......... .......... .......... .......... .......... 30% 79.1M 26s 80200K .......... .......... .......... .......... .......... 30% 61.0M 26s 80250K .......... .......... .......... .......... .......... 30% 104M 26s 80300K .......... .......... .......... .......... .......... 30% 58.0M 26s 80350K .......... .......... .......... .......... .......... 30% 93.4M 26s 80400K .......... .......... .......... .......... .......... 30% 53.7M 26s 80450K .......... .......... .......... .......... .......... 30% 73.5M 26s 80500K .......... .......... .......... .......... .......... 30% 70.4M 26s 80550K .......... .......... .......... .......... .......... 30% 81.8M 26s 80600K .......... .......... .......... .......... .......... 30% 73.2M 26s 80650K .......... .......... .......... .......... .......... 30% 65.9M 26s 80700K .......... .......... .......... .......... .......... 30% 80.4M 26s 80750K .......... .......... .......... .......... .......... 30% 58.3M 26s 80800K .......... .......... .......... .......... .......... 30% 92.0M 26s 80850K .......... .......... .......... .......... .......... 30% 242K 26s 80900K .......... .......... .......... .......... .......... 30% 183M 26s 80950K .......... .......... .......... .......... .......... 30% 118M 26s 81000K .......... .......... .......... .......... .......... 30% 159M 26s 81050K .......... .......... .......... .......... .......... 30% 145M 26s 81100K .......... .......... .......... .......... .......... 30% 98.6M 26s 81150K .......... .......... .......... .......... .......... 30% 106M 26s 81200K .......... .......... .......... .......... .......... 30% 118M 26s 81250K .......... .......... .......... .......... .......... 30% 98.0M 26s 81300K .......... .......... .......... .......... .......... 30% 132M 26s 81350K .......... .......... .......... .......... .......... 30% 51.7M 26s 81400K .......... .......... .......... .......... .......... 30% 131M 26s 81450K .......... .......... .......... .......... .......... 30% 69.3M 26s 81500K .......... .......... .......... .......... .......... 30% 70.2M 26s 81550K .......... .......... .......... .......... .......... 30% 102M 26s 81600K .......... .......... .......... .......... .......... 30% 119M 26s 81650K .......... .......... .......... .......... .......... 30% 152M 26s 81700K .......... .......... .......... .......... .......... 30% 80.4M 26s 81750K .......... .......... .......... .......... .......... 31% 52.4M 26s 81800K .......... .......... .......... .......... .......... 31% 96.9M 26s 81850K .......... .......... .......... .......... .......... 31% 50.0M 26s 81900K .......... .......... .......... .......... .......... 31% 109M 26s 81950K .......... .......... .......... .......... .......... 31% 31.1M 26s 82000K .......... .......... .......... .......... .......... 31% 54.1M 26s 82050K .......... .......... .......... .......... .......... 31% 94.6M 26s 82100K .......... .......... .......... .......... .......... 31% 44.2M 26s 82150K .......... .......... .......... .......... .......... 31% 121M 26s 82200K .......... .......... .......... .......... .......... 31% 37.2M 26s 82250K .......... .......... .......... .......... .......... 31% 44.5M 26s 82300K .......... .......... .......... .......... .......... 31% 71.8M 26s 82350K .......... .......... .......... .......... .......... 31% 53.3M 26s 82400K .......... .......... .......... .......... .......... 31% 70.0M 26s 82450K .......... .......... .......... .......... .......... 31% 32.9M 26s 82500K .......... .......... .......... .......... .......... 31% 53.2M 26s 82550K .......... .......... .......... .......... .......... 31% 49.2M 26s 82600K .......... .......... .......... .......... .......... 31% 48.2M 26s 82650K .......... .......... .......... .......... .......... 31% 35.0M 26s 82700K .......... .......... .......... .......... .......... 31% 97.3M 26s 82750K .......... .......... .......... .......... .......... 31% 43.2M 26s 82800K .......... .......... .......... .......... .......... 31% 67.4M 25s 82850K .......... .......... .......... .......... .......... 31% 48.1M 25s 82900K .......... .......... .......... .......... .......... 31% 173K 26s 82950K .......... .......... .......... .......... .......... 31% 103M 26s 83000K .......... .......... .......... .......... .......... 31% 103M 26s 83050K .......... .......... .......... .......... .......... 31% 146M 26s 83100K .......... .......... .......... .......... .......... 31% 90.1M 26s 83150K .......... .......... .......... .......... .......... 31% 180M 26s 83200K .......... .......... .......... .......... .......... 31% 101M 26s 83250K .......... .......... .......... .......... .......... 31% 44.2M 26s 83300K .......... .......... .......... .......... .......... 31% 16.1M 26s 83350K .......... .......... .......... .......... .......... 31% 58.1M 26s 83400K .......... .......... .......... .......... .......... 31% 17.8M 26s 83450K .......... .......... .......... .......... .......... 31% 18.1M 26s 83500K .......... .......... .......... .......... .......... 31% 118M 26s 83550K .......... .......... .......... .......... .......... 31% 26.9M 26s 83600K .......... .......... .......... .......... .......... 31% 44.5M 26s 83650K .......... .......... .......... .......... .......... 31% 33.4M 26s 83700K .......... .......... .......... .......... .......... 31% 38.2M 26s 83750K .......... .......... .......... .......... .......... 31% 43.8M 26s 83800K .......... .......... .......... .......... .......... 31% 31.6M 26s 83850K .......... .......... .......... .......... .......... 31% 43.4M 26s 83900K .......... .......... .......... .......... .......... 31% 24.0M 26s 83950K .......... .......... .......... .......... .......... 31% 278K 26s 84000K .......... .......... .......... .......... .......... 31% 173M 26s 84050K .......... .......... .......... .......... .......... 31% 158M 26s 84100K .......... .......... .......... .......... .......... 31% 153M 26s 84150K .......... .......... .......... .......... .......... 31% 183M 26s 84200K .......... .......... .......... .......... .......... 31% 161M 26s 84250K .......... .......... .......... .......... .......... 31% 167M 26s 84300K .......... .......... .......... .......... .......... 31% 118M 26s 84350K .......... .......... .......... .......... .......... 32% 43.8M 26s 84400K .......... .......... .......... .......... .......... 32% 15.7M 26s 84450K .......... .......... .......... .......... .......... 32% 104M 26s 84500K .......... .......... .......... .......... .......... 32% 140M 26s 84550K .......... .......... .......... .......... .......... 32% 59.2M 26s 84600K .......... .......... .......... .......... .......... 32% 33.9M 26s 84650K .......... .......... .......... .......... .......... 32% 37.5M 26s 84700K .......... .......... .......... .......... .......... 32% 41.0M 26s 84750K .......... .......... .......... .......... .......... 32% 41.7M 26s 84800K .......... .......... .......... .......... .......... 32% 59.0M 26s 84850K .......... .......... .......... .......... .......... 32% 44.0M 26s 84900K .......... .......... .......... .......... .......... 32% 77.6M 26s 84950K .......... .......... .......... .......... .......... 32% 44.7M 26s 85000K .......... .......... .......... .......... .......... 32% 101M 26s 85050K .......... .......... .......... .......... .......... 32% 51.1M 26s 85100K .......... .......... .......... .......... .......... 32% 64.0M 26s 85150K .......... .......... .......... .......... .......... 32% 68.9M 26s 85200K .......... .......... .......... .......... .......... 32% 49.7M 26s 85250K .......... .......... .......... .......... .......... 32% 110M 26s 85300K .......... .......... .......... .......... .......... 32% 34.8M 25s 85350K .......... .......... .......... .......... .......... 32% 72.9M 25s 85400K .......... .......... .......... .......... .......... 32% 54.1M 25s 85450K .......... .......... .......... .......... .......... 32% 52.7M 25s 85500K .......... .......... .......... .......... .......... 32% 80.8M 25s 85550K .......... .......... .......... .......... .......... 32% 54.9M 25s 85600K .......... .......... .......... .......... .......... 32% 42.3M 25s 85650K .......... .......... .......... .......... .......... 32% 58.5M 25s 85700K .......... .......... .......... .......... .......... 32% 42.8M 25s 85750K .......... .......... .......... .......... .......... 32% 77.8M 25s 85800K .......... .......... .......... .......... .......... 32% 42.5M 25s 85850K .......... .......... .......... .......... .......... 32% 49.8M 25s 85900K .......... .......... .......... .......... .......... 32% 137M 25s 85950K .......... .......... .......... .......... .......... 32% 47.3M 25s 86000K .......... .......... .......... .......... .......... 32% 270K 26s 86050K .......... .......... .......... .......... .......... 32% 161M 26s 86100K .......... .......... .......... .......... .......... 32% 127M 26s 86150K .......... .......... .......... .......... .......... 32% 126M 26s 86200K .......... .......... .......... .......... .......... 32% 117M 26s 86250K .......... .......... .......... .......... .......... 32% 117M 25s 86300K .......... .......... .......... .......... .......... 32% 129M 25s 86350K .......... .......... .......... .......... .......... 32% 67.9M 25s 86400K .......... .......... .......... .......... .......... 32% 19.0M 25s 86450K .......... .......... .......... .......... .......... 32% 18.7M 25s 86500K .......... .......... .......... .......... .......... 32% 104M 25s 86550K .......... .......... .......... .......... .......... 32% 89.6M 25s 86600K .......... .......... .......... .......... .......... 32% 28.1M 25s 86650K .......... .......... .......... .......... .......... 32% 28.3M 25s 86700K .......... .......... .......... .......... .......... 32% 60.9M 25s 86750K .......... .......... .......... .......... .......... 32% 25.1M 25s 86800K .......... .......... .......... .......... .......... 32% 78.5M 25s 86850K .......... .......... .......... .......... .......... 32% 30.0M 25s 86900K .......... .......... .......... .......... .......... 32% 81.7M 25s 86950K .......... .......... .......... .......... .......... 32% 26.1M 25s 87000K .......... .......... .......... .......... .......... 33% 84.2M 25s 87050K .......... .......... .......... .......... .......... 33% 27.6M 25s 87100K .......... .......... .......... .......... .......... 33% 65.2M 25s 87150K .......... .......... .......... .......... .......... 33% 28.6M 25s 87200K .......... .......... .......... .......... .......... 33% 74.2M 25s 87250K .......... .......... .......... .......... .......... 33% 26.5M 25s 87300K .......... .......... .......... .......... .......... 33% 77.1M 25s 87350K .......... .......... .......... .......... .......... 33% 22.5M 25s 87400K .......... .......... .......... .......... .......... 33% 41.8M 25s 87450K .......... .......... .......... .......... .......... 33% 22.8M 25s 87500K .......... .......... .......... .......... .......... 33% 50.8M 25s 87550K .......... .......... .......... .......... .......... 33% 14.7M 25s 87600K .......... .......... .......... .......... .......... 33% 17.9M 25s 87650K .......... .......... .......... .......... .......... 33% 43.1M 25s 87700K .......... .......... .......... .......... .......... 33% 15.3M 25s 87750K .......... .......... .......... .......... .......... 33% 67.5M 25s 87800K .......... .......... .......... .......... .......... 33% 32.4M 25s 87850K .......... .......... .......... .......... .......... 33% 59.3M 25s 87900K .......... .......... .......... .......... .......... 33% 37.6M 25s 87950K .......... .......... .......... .......... .......... 33% 56.4M 25s 88000K .......... .......... .......... .......... .......... 33% 40.2M 25s 88050K .......... .......... .......... .......... .......... 33% 188K 25s 88100K .......... .......... .......... .......... .......... 33% 114M 25s 88150K .......... .......... .......... .......... .......... 33% 114M 25s 88200K .......... .......... .......... .......... .......... 33% 122M 25s 88250K .......... .......... .......... .......... .......... 33% 126M 25s 88300K .......... .......... .......... .......... .......... 33% 118M 25s 88350K .......... .......... .......... .......... .......... 33% 118M 25s 88400K .......... .......... .......... .......... .......... 33% 29.8M 25s 88450K .......... .......... .......... .......... .......... 33% 17.4M 25s 88500K .......... .......... .......... .......... .......... 33% 17.7M 25s 88550K .......... .......... .......... .......... .......... 33% 45.3M 25s 88600K .......... .......... .......... .......... .......... 33% 27.7M 25s 88650K .......... .......... .......... .......... .......... 33% 99.0M 25s 88700K .......... .......... .......... .......... .......... 33% 26.0M 25s 88750K .......... .......... .......... .......... .......... 33% 75.4M 25s 88800K .......... .......... .......... .......... .......... 33% 42.9M 25s 88850K .......... .......... .......... .......... .......... 33% 41.7M 25s 88900K .......... .......... .......... .......... .......... 33% 81.2M 25s 88950K .......... .......... .......... .......... .......... 33% 41.5M 25s 89000K .......... .......... .......... .......... .......... 33% 97.9M 25s 89050K .......... .......... .......... .......... .......... 33% 2.80M 25s 89100K .......... .......... .......... .......... .......... 33% 116M 25s 89150K .......... .......... .......... .......... .......... 33% 143M 25s 89200K .......... .......... .......... .......... .......... 33% 111M 25s 89250K .......... .......... .......... .......... .......... 33% 104M 25s 89300K .......... .......... .......... .......... .......... 33% 146M 25s 89350K .......... .......... .......... .......... .......... 33% 107M 25s 89400K .......... .......... .......... .......... .......... 33% 83.3M 25s 89450K .......... .......... .......... .......... .......... 33% 106M 25s 89500K .......... .......... .......... .......... .......... 33% 78.4M 25s 89550K .......... .......... .......... .......... .......... 33% 37.1M 25s 89600K .......... .......... .......... .......... .......... 33% 33.9M 25s 89650K .......... .......... .......... .......... .......... 34% 38.2M 25s 89700K .......... .......... .......... .......... .......... 34% 38.8M 25s 89750K .......... .......... .......... .......... .......... 34% 77.5M 25s 89800K .......... .......... .......... .......... .......... 34% 122M 25s 89850K .......... .......... .......... .......... .......... 34% 83.8M 25s 89900K .......... .......... .......... .......... .......... 34% 81.5M 25s 89950K .......... .......... .......... .......... .......... 34% 95.9M 25s 90000K .......... .......... .......... .......... .......... 34% 85.8M 25s 90050K .......... .......... .......... .......... .......... 34% 86.6M 25s 90100K .......... .......... .......... .......... .......... 34% 168K 25s 90150K .......... .......... .......... .......... .......... 34% 126M 25s 90200K .......... .......... .......... .......... .......... 34% 94.1M 25s 90250K .......... .......... .......... .......... .......... 34% 138M 25s 90300K .......... .......... .......... .......... .......... 34% 110M 25s 90350K .......... .......... .......... .......... .......... 34% 101M 25s 90400K .......... .......... .......... .......... .......... 34% 110M 25s 90450K .......... .......... .......... .......... .......... 34% 88.0M 25s 90500K .......... .......... .......... .......... .......... 34% 160M 25s 90550K .......... .......... .......... .......... .......... 34% 120M 25s 90600K .......... .......... .......... .......... .......... 34% 84.6M 25s 90650K .......... .......... .......... .......... .......... 34% 112M 25s 90700K .......... .......... .......... .......... .......... 34% 62.0M 25s 90750K .......... .......... .......... .......... .......... 34% 50.5M 25s 90800K .......... .......... .......... .......... .......... 34% 79.0M 25s 90850K .......... .......... .......... .......... .......... 34% 38.2M 25s 90900K .......... .......... .......... .......... .......... 34% 38.8M 25s 90950K .......... .......... .......... .......... .......... 34% 37.1M 25s 91000K .......... .......... .......... .......... .......... 34% 42.0M 25s 91050K .......... .......... .......... .......... .......... 34% 23.3M 25s 91100K .......... .......... .......... .......... .......... 34% 45.7M 25s 91150K .......... .......... .......... .......... .......... 34% 52.4M 25s 91200K .......... .......... .......... .......... .......... 34% 20.3M 25s 91250K .......... .......... .......... .......... .......... 34% 61.8M 25s 91300K .......... .......... .......... .......... .......... 34% 116M 25s 91350K .......... .......... .......... .......... .......... 34% 41.6M 25s 91400K .......... .......... .......... .......... .......... 34% 46.5M 25s 91450K .......... .......... .......... .......... .......... 34% 58.0M 25s 91500K .......... .......... .......... .......... .......... 34% 94.1M 25s 91550K .......... .......... .......... .......... .......... 34% 50.5M 25s 91600K .......... .......... .......... .......... .......... 34% 63.4M 25s 91650K .......... .......... .......... .......... .......... 34% 91.6M 25s 91700K .......... .......... .......... .......... .......... 34% 53.8M 25s 91750K .......... .......... .......... .......... .......... 34% 87.0M 25s 91800K .......... .......... .......... .......... .......... 34% 52.2M 24s 91850K .......... .......... .......... .......... .......... 34% 46.5M 24s 91900K .......... .......... .......... .......... .......... 34% 98.0M 24s 91950K .......... .......... .......... .......... .......... 34% 55.9M 24s 92000K .......... .......... .......... .......... .......... 34% 51.7M 24s 92050K .......... .......... .......... .......... .......... 34% 53.5M 24s 92100K .......... .......... .......... .......... .......... 34% 41.5M 24s 92150K .......... .......... .......... .......... .......... 34% 152K 25s 92200K .......... .......... .......... .......... .......... 34% 129M 25s 92250K .......... .......... .......... .......... .......... 34% 133M 25s 92300K .......... .......... .......... .......... .......... 35% 119M 25s 92350K .......... .......... .......... .......... .......... 35% 102M 25s 92400K .......... .......... .......... .......... .......... 35% 133M 25s 92450K .......... .......... .......... .......... .......... 35% 153M 25s 92500K .......... .......... .......... .......... .......... 35% 124M 25s 92550K .......... .......... .......... .......... .......... 35% 147M 25s 92600K .......... .......... .......... .......... .......... 35% 83.2M 25s 92650K .......... .......... .......... .......... .......... 35% 59.0M 25s 92700K .......... .......... .......... .......... .......... 35% 48.1M 25s 92750K .......... .......... .......... .......... .......... 35% 39.9M 25s 92800K .......... .......... .......... .......... .......... 35% 38.4M 25s 92850K .......... .......... .......... .......... .......... 35% 30.0M 25s 92900K .......... .......... .......... .......... .......... 35% 40.6M 25s 92950K .......... .......... .......... .......... .......... 35% 57.3M 25s 93000K .......... .......... .......... .......... .......... 35% 77.3M 25s 93050K .......... .......... .......... .......... .......... 35% 88.0M 25s 93100K .......... .......... .......... .......... .......... 35% 73.9M 25s 93150K .......... .......... .......... .......... .......... 35% 227K 25s 93200K .......... .......... .......... .......... .......... 35% 142M 25s 93250K .......... .......... .......... .......... .......... 35% 180M 25s 93300K .......... .......... .......... .......... .......... 35% 141M 25s 93350K .......... .......... .......... .......... .......... 35% 98.0M 25s 93400K .......... .......... .......... .......... .......... 35% 148M 25s 93450K .......... .......... .......... .......... .......... 35% 118M 25s 93500K .......... .......... .......... .......... .......... 35% 139M 25s 93550K .......... .......... .......... .......... .......... 35% 186M 25s 93600K .......... .......... .......... .......... .......... 35% 92.8M 25s 93650K .......... .......... .......... .......... .......... 35% 162M 25s 93700K .......... .......... .......... .......... .......... 35% 84.8M 25s 93750K .......... .......... .......... .......... .......... 35% 91.3M 25s 93800K .......... .......... .......... .......... .......... 35% 43.0M 25s 93850K .......... .......... .......... .......... .......... 35% 46.6M 25s 93900K .......... .......... .......... .......... .......... 35% 34.4M 25s 93950K .......... .......... .......... .......... .......... 35% 11.3M 25s 94000K .......... .......... .......... .......... .......... 35% 145M 25s 94050K .......... .......... .......... .......... .......... 35% 109M 25s 94100K .......... .......... .......... .......... .......... 35% 116M 25s 94150K .......... .......... .......... .......... .......... 35% 14.7M 25s 94200K .......... .......... .......... .......... .......... 35% 9.69M 25s 94250K .......... .......... .......... .......... .......... 35% 36.7M 25s 94300K .......... .......... .......... .......... .......... 35% 13.1M 25s 94350K .......... .......... .......... .......... .......... 35% 69.7M 25s 94400K .......... .......... .......... .......... .......... 35% 25.4M 25s 94450K .......... .......... .......... .......... .......... 35% 66.9M 25s 94500K .......... .......... .......... .......... .......... 35% 28.1M 24s 94550K .......... .......... .......... .......... .......... 35% 123M 24s 94600K .......... .......... .......... .......... .......... 35% 15.0M 24s 94650K .......... .......... .......... .......... .......... 35% 22.9M 24s 94700K .......... .......... .......... .......... .......... 35% 54.3M 24s 94750K .......... .......... .......... .......... .......... 35% 42.1M 24s 94800K .......... .......... .......... .......... .......... 35% 98.2M 24s 94850K .......... .......... .......... .......... .......... 35% 35.3M 24s 94900K .......... .......... .......... .......... .......... 36% 47.5M 24s 94950K .......... .......... .......... .......... .......... 36% 35.3M 24s 95000K .......... .......... .......... .......... .......... 36% 49.6M 24s 95050K .......... .......... .......... .......... .......... 36% 63.0M 24s 95100K .......... .......... .......... .......... .......... 36% 24.9M 24s 95150K .......... .......... .......... .......... .......... 36% 56.6M 24s 95200K .......... .......... .......... .......... .......... 36% 610K 24s 95250K .......... .......... .......... .......... .......... 36% 130M 24s 95300K .......... .......... .......... .......... .......... 36% 111M 24s 95350K .......... .......... .......... .......... .......... 36% 109M 24s 95400K .......... .......... .......... .......... .......... 36% 119M 24s 95450K .......... .......... .......... .......... .......... 36% 145M 24s 95500K .......... .......... .......... .......... .......... 36% 164M 24s 95550K .......... .......... .......... .......... .......... 36% 124M 24s 95600K .......... .......... .......... .......... .......... 36% 137M 24s 95650K .......... .......... .......... .......... .......... 36% 128M 24s 95700K .......... .......... .......... .......... .......... 36% 39.6M 24s 95750K .......... .......... .......... .......... .......... 36% 81.5M 24s 95800K .......... .......... .......... .......... .......... 36% 70.4M 24s 95850K .......... .......... .......... .......... .......... 36% 64.7M 24s 95900K .......... .......... .......... .......... .......... 36% 94.6M 24s 95950K .......... .......... .......... .......... .......... 36% 65.9M 24s 96000K .......... .......... .......... .......... .......... 36% 45.7M 24s 96050K .......... .......... .......... .......... .......... 36% 126M 24s 96100K .......... .......... .......... .......... .......... 36% 49.9M 24s 96150K .......... .......... .......... .......... .......... 36% 90.4M 24s 96200K .......... .......... .......... .......... .......... 36% 77.9M 24s 96250K .......... .......... .......... .......... .......... 36% 203K 24s 96300K .......... .......... .......... .......... .......... 36% 206M 24s 96350K .......... .......... .......... .......... .......... 36% 178M 24s 96400K .......... .......... .......... .......... .......... 36% 181M 24s 96450K .......... .......... .......... .......... .......... 36% 140M 24s 96500K .......... .......... .......... .......... .......... 36% 123M 24s 96550K .......... .......... .......... .......... .......... 36% 115M 24s 96600K .......... .......... .......... .......... .......... 36% 122M 24s 96650K .......... .......... .......... .......... .......... 36% 140M 24s 96700K .......... .......... .......... .......... .......... 36% 107M 24s 96750K .......... .......... .......... .......... .......... 36% 124M 24s 96800K .......... .......... .......... .......... .......... 36% 72.0M 24s 96850K .......... .......... .......... .......... .......... 36% 65.5M 24s 96900K .......... .......... .......... .......... .......... 36% 12.5M 24s 96950K .......... .......... .......... .......... .......... 36% 117M 24s 97000K .......... .......... .......... .......... .......... 36% 137M 24s 97050K .......... .......... .......... .......... .......... 36% 122M 24s 97100K .......... .......... .......... .......... .......... 36% 31.9M 24s 97150K .......... .......... .......... .......... .......... 36% 30.0M 24s 97200K .......... .......... .......... .......... .......... 36% 37.2M 24s 97250K .......... .......... .......... .......... .......... 36% 24.9M 24s 97300K .......... .......... .......... .......... .......... 36% 24.0M 24s 97350K .......... .......... .......... .......... .......... 36% 22.5M 24s 97400K .......... .......... .......... .......... .......... 36% 109M 24s 97450K .......... .......... .......... .......... .......... 36% 25.9M 24s 97500K .......... .......... .......... .......... .......... 36% 28.4M 24s 97550K .......... .......... .......... .......... .......... 37% 61.6M 24s 97600K .......... .......... .......... .......... .......... 37% 15.8M 24s 97650K .......... .......... .......... .......... .......... 37% 21.0M 24s 97700K .......... .......... .......... .......... .......... 37% 30.7M 24s 97750K .......... .......... .......... .......... .......... 37% 35.9M 24s 97800K .......... .......... .......... .......... .......... 37% 43.6M 24s 97850K .......... .......... .......... .......... .......... 37% 79.7M 24s 97900K .......... .......... .......... .......... .......... 37% 50.0M 24s 97950K .......... .......... .......... .......... .......... 37% 46.4M 24s 98000K .......... .......... .......... .......... .......... 37% 90.1M 24s 98050K .......... .......... .......... .......... .......... 37% 47.2M 24s 98100K .......... .......... .......... .......... .......... 37% 89.9M 24s 98150K .......... .......... .......... .......... .......... 37% 37.2M 24s 98200K .......... .......... .......... .......... .......... 37% 32.8M 24s 98250K .......... .......... .......... .......... .......... 37% 74.9M 24s 98300K .......... .......... .......... .......... .......... 37% 266K 24s 98350K .......... .......... .......... .......... .......... 37% 112M 24s 98400K .......... .......... .......... .......... .......... 37% 148M 24s 98450K .......... .......... .......... .......... .......... 37% 101M 24s 98500K .......... .......... .......... .......... .......... 37% 118M 24s 98550K .......... .......... .......... .......... .......... 37% 148M 24s 98600K .......... .......... .......... .......... .......... 37% 93.0M 24s 98650K .......... .......... .......... .......... .......... 37% 155M 24s 98700K .......... .......... .......... .......... .......... 37% 159M 24s 98750K .......... .......... .......... .......... .......... 37% 80.6M 24s 98800K .......... .......... .......... .......... .......... 37% 40.4M 24s 98850K .......... .......... .......... .......... .......... 37% 41.3M 24s 98900K .......... .......... .......... .......... .......... 37% 54.3M 24s 98950K .......... .......... .......... .......... .......... 37% 30.9M 24s 99000K .......... .......... .......... .......... .......... 37% 71.6M 24s 99050K .......... .......... .......... .......... .......... 37% 54.6M 24s 99100K .......... .......... .......... .......... .......... 37% 96.1M 24s 99150K .......... .......... .......... .......... .......... 37% 48.2M 24s 99200K .......... .......... .......... .......... .......... 37% 95.0M 24s 99250K .......... .......... .......... .......... .......... 37% 78.5M 24s 99300K .......... .......... .......... .......... .......... 37% 59.7M 24s 99350K .......... .......... .......... .......... .......... 37% 95.0M 24s 99400K .......... .......... .......... .......... .......... 37% 105M 24s 99450K .......... .......... .......... .......... .......... 37% 52.1M 24s 99500K .......... .......... .......... .......... .......... 37% 84.6M 24s 99550K .......... .......... .......... .......... .......... 37% 111M 24s 99600K .......... .......... .......... .......... .......... 37% 52.9M 24s 99650K .......... .......... .......... .......... .......... 37% 107M 24s 99700K .......... .......... .......... .......... .......... 37% 62.8M 23s 99750K .......... .......... .......... .......... .......... 37% 66.2M 23s 99800K .......... .......... .......... .......... .......... 37% 85.5M 23s 99850K .......... .......... .......... .......... .......... 37% 54.0M 23s 99900K .......... .......... .......... .......... .......... 37% 68.8M 23s 99950K .......... .......... .......... .......... .......... 37% 96.1M 23s 100000K .......... .......... .......... .......... .......... 37% 57.3M 23s 100050K .......... .......... .......... .......... .......... 37% 71.2M 23s 100100K .......... .......... .......... .......... .......... 37% 73.9M 23s 100150K .......... .......... .......... .......... .......... 37% 84.3M 23s 100200K .......... .......... .......... .......... .......... 38% 52.7M 23s 100250K .......... .......... .......... .......... .......... 38% 86.6M 23s 100300K .......... .......... .......... .......... .......... 38% 49.3M 23s 100350K .......... .......... .......... .......... .......... 38% 159K 24s 100400K .......... .......... .......... .......... .......... 38% 166M 24s 100450K .......... .......... .......... .......... .......... 38% 172M 24s 100500K .......... .......... .......... .......... .......... 38% 164M 24s 100550K .......... .......... .......... .......... .......... 38% 176M 24s 100600K .......... .......... .......... .......... .......... 38% 133M 24s 100650K .......... .......... .......... .......... .......... 38% 143M 24s 100700K .......... .......... .......... .......... .......... 38% 151M 24s 100750K .......... .......... .......... .......... .......... 38% 188M 24s 100800K .......... .......... .......... .......... .......... 38% 123M 24s 100850K .......... .......... .......... .......... .......... 38% 54.4M 24s 100900K .......... .......... .......... .......... .......... 38% 66.8M 24s 100950K .......... .......... .......... .......... .......... 38% 59.0M 24s 101000K .......... .......... .......... .......... .......... 38% 70.1M 24s 101050K .......... .......... .......... .......... .......... 38% 107M 24s 101100K .......... .......... .......... .......... .......... 38% 59.6M 24s 101150K .......... .......... .......... .......... .......... 38% 59.6M 23s 101200K .......... .......... .......... .......... .......... 38% 46.6M 23s 101250K .......... .......... .......... .......... .......... 38% 30.1M 23s 101300K .......... .......... .......... .......... .......... 38% 37.7M 23s 101350K .......... .......... .......... .......... .......... 38% 163K 24s 101400K .......... .......... .......... .......... .......... 38% 131M 24s 101450K .......... .......... .......... .......... .......... 38% 120M 24s 101500K .......... .......... .......... .......... .......... 38% 123M 24s 101550K .......... .......... .......... .......... .......... 38% 119M 24s 101600K .......... .......... .......... .......... .......... 38% 110M 24s 101650K .......... .......... .......... .......... .......... 38% 164M 24s 101700K .......... .......... .......... .......... .......... 38% 97.1M 24s 101750K .......... .......... .......... .......... .......... 38% 138M 24s 101800K .......... .......... .......... .......... .......... 38% 141M 24s 101850K .......... .......... .......... .......... .......... 38% 94.8M 24s 101900K .......... .......... .......... .......... .......... 38% 112M 24s 101950K .......... .......... .......... .......... .......... 38% 75.9M 24s 102000K .......... .......... .......... .......... .......... 38% 68.0M 24s 102050K .......... .......... .......... .......... .......... 38% 48.5M 24s 102100K .......... .......... .......... .......... .......... 38% 44.1M 24s 102150K .......... .......... .......... .......... .......... 38% 12.6M 24s 102200K .......... .......... .......... .......... .......... 38% 66.9M 24s 102250K .......... .......... .......... .......... .......... 38% 107M 24s 102300K .......... .......... .......... .......... .......... 38% 158M 24s 102350K .......... .......... .......... .......... .......... 38% 32.0M 24s 102400K .......... .......... .......... .......... .......... 38% 24.0M 24s 102450K .......... .......... .......... .......... .......... 38% 26.0M 24s 102500K .......... .......... .......... .......... .......... 38% 49.2M 24s 102550K .......... .......... .......... .......... .......... 38% 18.3M 23s 102600K .......... .......... .......... .......... .......... 38% 23.5M 23s 102650K .......... .......... .......... .......... .......... 38% 52.2M 23s 102700K .......... .......... .......... .......... .......... 38% 25.8M 23s 102750K .......... .......... .......... .......... .......... 38% 91.1M 23s 102800K .......... .......... .......... .......... .......... 38% 31.9M 23s 102850K .......... .......... .......... .......... .......... 39% 31.2M 23s 102900K .......... .......... .......... .......... .......... 39% 68.4M 23s 102950K .......... .......... .......... .......... .......... 39% 35.4M 23s 103000K .......... .......... .......... .......... .......... 39% 82.8M 23s 103050K .......... .......... .......... .......... .......... 39% 50.2M 23s 103100K .......... .......... .......... .......... .......... 39% 43.6M 23s 103150K .......... .......... .......... .......... .......... 39% 91.6M 23s 103200K .......... .......... .......... .......... .......... 39% 21.5M 23s 103250K .......... .......... .......... .......... .......... 39% 67.3M 23s 103300K .......... .......... .......... .......... .......... 39% 45.5M 23s 103350K .......... .......... .......... .......... .......... 39% 28.4M 23s 103400K .......... .......... .......... .......... .......... 39% 196K 24s 103450K .......... .......... .......... .......... .......... 39% 136M 24s 103500K .......... .......... .......... .......... .......... 39% 151M 24s 103550K .......... .......... .......... .......... .......... 39% 131M 24s 103600K .......... .......... .......... .......... .......... 39% 136M 24s 103650K .......... .......... .......... .......... .......... 39% 130M 24s 103700K .......... .......... .......... .......... .......... 39% 122M 23s 103750K .......... .......... .......... .......... .......... 39% 128M 23s 103800K .......... .......... .......... .......... .......... 39% 150M 23s 103850K .......... .......... .......... .......... .......... 39% 37.6M 23s 103900K .......... .......... .......... .......... .......... 39% 96.9M 23s 103950K .......... .......... .......... .......... .......... 39% 32.3M 23s 104000K .......... .......... .......... .......... .......... 39% 78.8M 23s 104050K .......... .......... .......... .......... .......... 39% 11.0M 23s 104100K .......... .......... .......... .......... .......... 39% 117M 23s 104150K .......... .......... .......... .......... .......... 39% 126M 23s 104200K .......... .......... .......... .......... .......... 39% 128M 23s 104250K .......... .......... .......... .......... .......... 39% 33.7M 23s 104300K .......... .......... .......... .......... .......... 39% 63.0M 23s 104350K .......... .......... .......... .......... .......... 39% 56.5M 23s 104400K .......... .......... .......... .......... .......... 39% 69.9M 23s 104450K .......... .......... .......... .......... .......... 39% 190K 24s 104500K .......... .......... .......... .......... .......... 39% 125M 24s 104550K .......... .......... .......... .......... .......... 39% 145M 24s 104600K .......... .......... .......... .......... .......... 39% 130M 24s 104650K .......... .......... .......... .......... .......... 39% 150M 24s 104700K .......... .......... .......... .......... .......... 39% 156M 24s 104750K .......... .......... .......... .......... .......... 39% 82.1M 24s 104800K .......... .......... .......... .......... .......... 39% 165M 24s 104850K .......... .......... .......... .......... .......... 39% 140M 23s 104900K .......... .......... .......... .......... .......... 39% 198M 23s 104950K .......... .......... .......... .......... .......... 39% 80.8M 23s 105000K .......... .......... .......... .......... .......... 39% 108M 23s 105050K .......... .......... .......... .......... .......... 39% 85.2M 23s 105100K .......... .......... .......... .......... .......... 39% 32.4M 23s 105150K .......... .......... .......... .......... .......... 39% 40.6M 23s 105200K .......... .......... .......... .......... .......... 39% 63.7M 23s 105250K .......... .......... .......... .......... .......... 39% 30.5M 23s 105300K .......... .......... .......... .......... .......... 39% 61.9M 23s 105350K .......... .......... .......... .......... .......... 39% 17.9M 23s 105400K .......... .......... .......... .......... .......... 39% 114M 23s 105450K .......... .......... .......... .......... .......... 40% 18.9M 23s 105500K .......... .......... .......... .......... .......... 40% 75.6M 23s 105550K .......... .......... .......... .......... .......... 40% 22.3M 23s 105600K .......... .......... .......... .......... .......... 40% 70.4M 23s 105650K .......... .......... .......... .......... .......... 40% 38.1M 23s 105700K .......... .......... .......... .......... .......... 40% 81.5M 23s 105750K .......... .......... .......... .......... .......... 40% 46.1M 23s 105800K .......... .......... .......... .......... .......... 40% 81.9M 23s 105850K .......... .......... .......... .......... .......... 40% 31.9M 23s 105900K .......... .......... .......... .......... .......... 40% 54.7M 23s 105950K .......... .......... .......... .......... .......... 40% 31.0M 23s 106000K .......... .......... .......... .......... .......... 40% 48.0M 23s 106050K .......... .......... .......... .......... .......... 40% 30.0M 23s 106100K .......... .......... .......... .......... .......... 40% 38.9M 23s 106150K .......... .......... .......... .......... .......... 40% 33.9M 23s 106200K .......... .......... .......... .......... .......... 40% 52.5M 23s 106250K .......... .......... .......... .......... .......... 40% 27.9M 23s 106300K .......... .......... .......... .......... .......... 40% 48.2M 23s 106350K .......... .......... .......... .......... .......... 40% 29.4M 23s 106400K .......... .......... .......... .......... .......... 40% 87.8M 23s 106450K .......... .......... .......... .......... .......... 40% 237K 23s 106500K .......... .......... .......... .......... .......... 40% 166M 23s 106550K .......... .......... .......... .......... .......... 40% 180M 23s 106600K .......... .......... .......... .......... .......... 40% 113M 23s 106650K .......... .......... .......... .......... .......... 40% 178M 23s 106700K .......... .......... .......... .......... .......... 40% 147M 23s 106750K .......... .......... .......... .......... .......... 40% 161M 23s 106800K .......... .......... .......... .......... .......... 40% 146M 23s 106850K .......... .......... .......... .......... .......... 40% 84.6M 23s 106900K .......... .......... .......... .......... .......... 40% 114M 23s 106950K .......... .......... .......... .......... .......... 40% 117M 23s 107000K .......... .......... .......... .......... .......... 40% 116M 23s 107050K .......... .......... .......... .......... .......... 40% 195M 23s 107100K .......... .......... .......... .......... .......... 40% 26.9M 23s 107150K .......... .......... .......... .......... .......... 40% 49.7M 23s 107200K .......... .......... .......... .......... .......... 40% 45.2M 23s 107250K .......... .......... .......... .......... .......... 40% 86.4M 23s 107300K .......... .......... .......... .......... .......... 40% 54.3M 23s 107350K .......... .......... .......... .......... .......... 40% 44.1M 23s 107400K .......... .......... .......... .......... .......... 40% 54.8M 23s 107450K .......... .......... .......... .......... .......... 40% 35.5M 23s 107500K .......... .......... .......... .......... .......... 40% 149K 23s 107550K .......... .......... .......... .......... .......... 40% 107M 23s 107600K .......... .......... .......... .......... .......... 40% 110M 23s 107650K .......... .......... .......... .......... .......... 40% 110M 23s 107700K .......... .......... .......... .......... .......... 40% 107M 23s 107750K .......... .......... .......... .......... .......... 40% 146M 23s 107800K .......... .......... .......... .......... .......... 40% 105M 23s 107850K .......... .......... .......... .......... .......... 40% 115M 23s 107900K .......... .......... .......... .......... .......... 40% 110M 23s 107950K .......... .......... .......... .......... .......... 40% 119M 23s 108000K .......... .......... .......... .......... .......... 40% 168M 23s 108050K .......... .......... .......... .......... .......... 40% 167M 23s 108100K .......... .......... .......... .......... .......... 41% 76.8M 23s 108150K .......... .......... .......... .......... .......... 41% 126M 23s 108200K .......... .......... .......... .......... .......... 41% 25.0M 23s 108250K .......... .......... .......... .......... .......... 41% 134M 23s 108300K .......... .......... .......... .......... .......... 41% 152M 23s 108350K .......... .......... .......... .......... .......... 41% 93.4M 23s 108400K .......... .......... .......... .......... .......... 41% 135M 23s 108450K .......... .......... .......... .......... .......... 41% 75.6M 23s 108500K .......... .......... .......... .......... .......... 41% 33.4M 23s 108550K .......... .......... .......... .......... .......... 41% 108M 23s 108600K .......... .......... .......... .......... .......... 41% 127M 23s 108650K .......... .......... .......... .......... .......... 41% 88.9M 23s 108700K .......... .......... .......... .......... .......... 41% 32.8M 23s 108750K .......... .......... .......... .......... .......... 41% 43.8M 23s 108800K .......... .......... .......... .......... .......... 41% 40.8M 23s 108850K .......... .......... .......... .......... .......... 41% 57.9M 23s 108900K .......... .......... .......... .......... .......... 41% 47.0M 23s 108950K .......... .......... .......... .......... .......... 41% 51.8M 23s 109000K .......... .......... .......... .......... .......... 41% 60.6M 23s 109050K .......... .......... .......... .......... .......... 41% 50.1M 23s 109100K .......... .......... .......... .......... .......... 41% 58.9M 23s 109150K .......... .......... .......... .......... .......... 41% 73.0M 23s 109200K .......... .......... .......... .......... .......... 41% 35.6M 23s 109250K .......... .......... .......... .......... .......... 41% 58.4M 23s 109300K .......... .......... .......... .......... .......... 41% 77.6M 23s 109350K .......... .......... .......... .......... .......... 41% 63.6M 23s 109400K .......... .......... .......... .......... .......... 41% 61.6M 23s 109450K .......... .......... .......... .......... .......... 41% 44.3M 23s 109500K .......... .......... .......... .......... .......... 41% 85.2M 23s 109550K .......... .......... .......... .......... .......... 41% 334K 23s 109600K .......... .......... .......... .......... .......... 41% 118M 23s 109650K .......... .......... .......... .......... .......... 41% 202M 23s 109700K .......... .......... .......... .......... .......... 41% 165M 23s 109750K .......... .......... .......... .......... .......... 41% 175M 23s 109800K .......... .......... .......... .......... .......... 41% 156M 23s 109850K .......... .......... .......... .......... .......... 41% 125M 23s 109900K .......... .......... .......... .......... .......... 41% 150M 23s 109950K .......... .......... .......... .......... .......... 41% 109M 23s 110000K .......... .......... .......... .......... .......... 41% 144M 23s 110050K .......... .......... .......... .......... .......... 41% 95.4M 23s 110100K .......... .......... .......... .......... .......... 41% 43.1M 23s 110150K .......... .......... .......... .......... .......... 41% 86.4M 23s 110200K .......... .......... .......... .......... .......... 41% 48.7M 23s 110250K .......... .......... .......... .......... .......... 41% 66.9M 23s 110300K .......... .......... .......... .......... .......... 41% 53.1M 23s 110350K .......... .......... .......... .......... .......... 41% 42.8M 23s 110400K .......... .......... .......... .......... .......... 41% 102M 23s 110450K .......... .......... .......... .......... .......... 41% 48.2M 23s 110500K .......... .......... .......... .......... .......... 41% 119M 23s 110550K .......... .......... .......... .......... .......... 41% 125K 23s 110600K .......... .......... .......... .......... .......... 41% 138M 23s 110650K .......... .......... .......... .......... .......... 41% 248M 23s 110700K .......... .......... .......... .......... .......... 41% 207M 23s 110750K .......... .......... .......... .......... .......... 42% 94.3M 23s 110800K .......... .......... .......... .......... .......... 42% 103M 23s 110850K .......... .......... .......... .......... .......... 42% 107M 23s 110900K .......... .......... .......... .......... .......... 42% 166M 23s 110950K .......... .......... .......... .......... .......... 42% 113M 23s 111000K .......... .......... .......... .......... .......... 42% 170M 23s 111050K .......... .......... .......... .......... .......... 42% 131M 23s 111100K .......... .......... .......... .......... .......... 42% 48.3M 23s 111150K .......... .......... .......... .......... .......... 42% 73.0M 23s 111200K .......... .......... .......... .......... .......... 42% 76.4M 23s 111250K .......... .......... .......... .......... .......... 42% 60.4M 23s 111300K .......... .......... .......... .......... .......... 42% 103M 23s 111350K .......... .......... .......... .......... .......... 42% 57.2M 23s 111400K .......... .......... .......... .......... .......... 42% 37.4M 23s 111450K .......... .......... .......... .......... .......... 42% 12.8M 23s 111500K .......... .......... .......... .......... .......... 42% 133M 23s 111550K .......... .......... .......... .......... .......... 42% 13.6M 23s 111600K .......... .......... .......... .......... .......... 42% 95.4M 23s 111650K .......... .......... .......... .......... .......... 42% 35.6M 23s 111700K .......... .......... .......... .......... .......... 42% 15.8M 23s 111750K .......... .......... .......... .......... .......... 42% 15.9M 23s 111800K .......... .......... .......... .......... .......... 42% 17.6M 23s 111850K .......... .......... .......... .......... .......... 42% 30.2M 23s 111900K .......... .......... .......... .......... .......... 42% 29.3M 23s 111950K .......... .......... .......... .......... .......... 42% 24.8M 23s 112000K .......... .......... .......... .......... .......... 42% 38.1M 23s 112050K .......... .......... .......... .......... .......... 42% 44.7M 23s 112100K .......... .......... .......... .......... .......... 42% 32.7M 23s 112150K .......... .......... .......... .......... .......... 42% 41.9M 23s 112200K .......... .......... .......... .......... .......... 42% 42.1M 23s 112250K .......... .......... .......... .......... .......... 42% 44.2M 23s 112300K .......... .......... .......... .......... .......... 42% 37.6M 23s 112350K .......... .......... .......... .......... .......... 42% 43.2M 23s 112400K .......... .......... .......... .......... .......... 42% 36.2M 23s 112450K .......... .......... .......... .......... .......... 42% 40.2M 23s 112500K .......... .......... .......... .......... .......... 42% 41.4M 22s 112550K .......... .......... .......... .......... .......... 42% 38.7M 22s 112600K .......... .......... .......... .......... .......... 42% 316K 23s 112650K .......... .......... .......... .......... .......... 42% 155M 23s 112700K .......... .......... .......... .......... .......... 42% 141M 23s 112750K .......... .......... .......... .......... .......... 42% 102M 23s 112800K .......... .......... .......... .......... .......... 42% 128M 23s 112850K .......... .......... .......... .......... .......... 42% 99.9M 23s 112900K .......... .......... .......... .......... .......... 42% 133M 23s 112950K .......... .......... .......... .......... .......... 42% 72.6M 23s 113000K .......... .......... .......... .......... .......... 42% 115M 23s 113050K .......... .......... .......... .......... .......... 42% 140M 23s 113100K .......... .......... .......... .......... .......... 42% 79.9M 23s 113150K .......... .......... .......... .......... .......... 42% 105M 22s 113200K .......... .......... .......... .......... .......... 42% 65.6M 22s 113250K .......... .......... .......... .......... .......... 42% 59.2M 22s 113300K .......... .......... .......... .......... .......... 42% 48.9M 22s 113350K .......... .......... .......... .......... .......... 43% 34.0M 22s 113400K .......... .......... .......... .......... .......... 43% 25.7M 22s 113450K .......... .......... .......... .......... .......... 43% 28.1M 22s 113500K .......... .......... .......... .......... .......... 43% 35.1M 22s 113550K .......... .......... .......... .......... .......... 43% 67.3M 22s 113600K .......... .......... .......... .......... .......... 43% 29.3M 22s 113650K .......... .......... .......... .......... .......... 43% 39.7M 22s 113700K .......... .......... .......... .......... .......... 43% 14.1M 22s 113750K .......... .......... .......... .......... .......... 43% 94.1M 22s 113800K .......... .......... .......... .......... .......... 43% 68.4M 22s 113850K .......... .......... .......... .......... .......... 43% 35.1M 22s 113900K .......... .......... .......... .......... .......... 43% 28.3M 22s 113950K .......... .......... .......... .......... .......... 43% 33.9M 22s 114000K .......... .......... .......... .......... .......... 43% 38.5M 22s 114050K .......... .......... .......... .......... .......... 43% 38.5M 22s 114100K .......... .......... .......... .......... .......... 43% 28.8M 22s 114150K .......... .......... .......... .......... .......... 43% 38.5M 22s 114200K .......... .......... .......... .......... .......... 43% 29.6M 22s 114250K .......... .......... .......... .......... .......... 43% 26.0M 22s 114300K .......... .......... .......... .......... .......... 43% 47.5M 22s 114350K .......... .......... .......... .......... .......... 43% 44.1M 22s 114400K .......... .......... .......... .......... .......... 43% 45.5M 22s 114450K .......... .......... .......... .......... .......... 43% 39.4M 22s 114500K .......... .......... .......... .......... .......... 43% 28.8M 22s 114550K .......... .......... .......... .......... .......... 43% 37.1M 22s 114600K .......... .......... .......... .......... .......... 43% 31.4M 22s 114650K .......... .......... .......... .......... .......... 43% 342K 22s 114700K .......... .......... .......... .......... .......... 43% 122M 22s 114750K .......... .......... .......... .......... .......... 43% 181M 22s 114800K .......... .......... .......... .......... .......... 43% 144M 22s 114850K .......... .......... .......... .......... .......... 43% 153M 22s 114900K .......... .......... .......... .......... .......... 43% 99.9M 22s 114950K .......... .......... .......... .......... .......... 43% 111M 22s 115000K .......... .......... .......... .......... .......... 43% 136M 22s 115050K .......... .......... .......... .......... .......... 43% 99.4M 22s 115100K .......... .......... .......... .......... .......... 43% 113M 22s 115150K .......... .......... .......... .......... .......... 43% 107M 22s 115200K .......... .......... .......... .......... .......... 43% 166M 22s 115250K .......... .......... .......... .......... .......... 43% 204M 22s 115300K .......... .......... .......... .......... .......... 43% 54.1M 22s 115350K .......... .......... .......... .......... .......... 43% 66.6M 22s 115400K .......... .......... .......... .......... .......... 43% 49.8M 22s 115450K .......... .......... .......... .......... .......... 43% 39.7M 22s 115500K .......... .......... .......... .......... .......... 43% 79.8M 22s 115550K .......... .......... .......... .......... .......... 43% 49.9M 22s 115600K .......... .......... .......... .......... .......... 43% 44.1M 22s 115650K .......... .......... .......... .......... .......... 43% 49.9M 22s 115700K .......... .......... .......... .......... .......... 43% 589K 22s 115750K .......... .......... .......... .......... .......... 43% 195M 22s 115800K .......... .......... .......... .......... .......... 43% 256M 22s 115850K .......... .......... .......... .......... .......... 43% 205M 22s 115900K .......... .......... .......... .......... .......... 43% 139M 22s 115950K .......... .......... .......... .......... .......... 43% 117M 22s 116000K .......... .......... .......... .......... .......... 44% 117M 22s 116050K .......... .......... .......... .......... .......... 44% 126M 22s 116100K .......... .......... .......... .......... .......... 44% 64.6M 22s 116150K .......... .......... .......... .......... .......... 44% 111M 22s 116200K .......... .......... .......... .......... .......... 44% 139M 22s 116250K .......... .......... .......... .......... .......... 44% 153M 22s 116300K .......... .......... .......... .......... .......... 44% 53.7M 22s 116350K .......... .......... .......... .......... .......... 44% 29.5M 22s 116400K .......... .......... .......... .......... .......... 44% 140M 22s 116450K .......... .......... .......... .......... .......... 44% 132M 22s 116500K .......... .......... .......... .......... .......... 44% 88.8M 22s 116550K .......... .......... .......... .......... .......... 44% 52.3M 22s 116600K .......... .......... .......... .......... .......... 44% 55.5M 22s 116650K .......... .......... .......... .......... .......... 44% 13.4M 22s 116700K .......... .......... .......... .......... .......... 44% 75.0M 22s 116750K .......... .......... .......... .......... .......... 44% 121M 22s 116800K .......... .......... .......... .......... .......... 44% 87.5M 22s 116850K .......... .......... .......... .......... .......... 44% 30.8M 22s 116900K .......... .......... .......... .......... .......... 44% 32.4M 22s 116950K .......... .......... .......... .......... .......... 44% 35.4M 22s 117000K .......... .......... .......... .......... .......... 44% 49.5M 22s 117050K .......... .......... .......... .......... .......... 44% 86.1M 22s 117100K .......... .......... .......... .......... .......... 44% 28.5M 22s 117150K .......... .......... .......... .......... .......... 44% 33.0M 22s 117200K .......... .......... .......... .......... .......... 44% 33.9M 22s 117250K .......... .......... .......... .......... .......... 44% 36.0M 21s 117300K .......... .......... .......... .......... .......... 44% 32.5M 21s 117350K .......... .......... .......... .......... .......... 44% 32.6M 21s 117400K .......... .......... .......... .......... .......... 44% 34.8M 21s 117450K .......... .......... .......... .......... .......... 44% 66.9M 21s 117500K .......... .......... .......... .......... .......... 44% 36.3M 21s 117550K .......... .......... .......... .......... .......... 44% 41.3M 21s 117600K .......... .......... .......... .......... .......... 44% 36.4M 21s 117650K .......... .......... .......... .......... .......... 44% 41.3M 21s 117700K .......... .......... .......... .......... .......... 44% 37.9M 21s 117750K .......... .......... .......... .......... .......... 44% 334K 22s 117800K .......... .......... .......... .......... .......... 44% 178M 22s 117850K .......... .......... .......... .......... .......... 44% 150M 21s 117900K .......... .......... .......... .......... .......... 44% 155M 21s 117950K .......... .......... .......... .......... .......... 44% 167M 21s 118000K .......... .......... .......... .......... .......... 44% 159M 21s 118050K .......... .......... .......... .......... .......... 44% 203M 21s 118100K .......... .......... .......... .......... .......... 44% 119M 21s 118150K .......... .......... .......... .......... .......... 44% 226M 21s 118200K .......... .......... .......... .......... .......... 44% 162M 21s 118250K .......... .......... .......... .......... .......... 44% 83.5M 21s 118300K .......... .......... .......... .......... .......... 44% 91.8M 21s 118350K .......... .......... .......... .......... .......... 44% 55.3M 21s 118400K .......... .......... .......... .......... .......... 44% 60.7M 21s 118450K .......... .......... .......... .......... .......... 44% 16.7M 21s 118500K .......... .......... .......... .......... .......... 44% 7.64M 21s 118550K .......... .......... .......... .......... .......... 44% 125M 21s 118600K .......... .......... .......... .......... .......... 44% 70.8M 21s 118650K .......... .......... .......... .......... .......... 45% 25.8M 21s 118700K .......... .......... .......... .......... .......... 45% 54.5M 21s 118750K .......... .......... .......... .......... .......... 45% 268K 21s 118800K .......... .......... .......... .......... .......... 45% 143M 21s 118850K .......... .......... .......... .......... .......... 45% 138M 21s 118900K .......... .......... .......... .......... .......... 45% 151M 21s 118950K .......... .......... .......... .......... .......... 45% 121M 21s 119000K .......... .......... .......... .......... .......... 45% 78.8M 21s 119050K .......... .......... .......... .......... .......... 45% 157M 21s 119100K .......... .......... .......... .......... .......... 45% 153M 21s 119150K .......... .......... .......... .......... .......... 45% 129M 21s 119200K .......... .......... .......... .......... .......... 45% 119M 21s 119250K .......... .......... .......... .......... .......... 45% 54.1M 21s 119300K .......... .......... .......... .......... .......... 45% 22.6M 21s 119350K .......... .......... .......... .......... .......... 45% 118M 21s 119400K .......... .......... .......... .......... .......... 45% 49.3M 21s 119450K .......... .......... .......... .......... .......... 45% 39.5M 21s 119500K .......... .......... .......... .......... .......... 45% 35.4M 21s 119550K .......... .......... .......... .......... .......... 45% 40.4M 21s 119600K .......... .......... .......... .......... .......... 45% 34.1M 21s 119650K .......... .......... .......... .......... .......... 45% 40.8M 21s 119700K .......... .......... .......... .......... .......... 45% 28.9M 21s 119750K .......... .......... .......... .......... .......... 45% 35.8M 21s 119800K .......... .......... .......... .......... .......... 45% 1.77M 21s 119850K .......... .......... .......... .......... .......... 45% 37.5M 21s 119900K .......... .......... .......... .......... .......... 45% 50.9M 21s 119950K .......... .......... .......... .......... .......... 45% 45.0M 21s 120000K .......... .......... .......... .......... .......... 45% 53.1M 21s 120050K .......... .......... .......... .......... .......... 45% 45.3M 21s 120100K .......... .......... .......... .......... .......... 45% 21.6M 21s 120150K .......... .......... .......... .......... .......... 45% 38.2M 21s 120200K .......... .......... .......... .......... .......... 45% 20.6M 21s 120250K .......... .......... .......... .......... .......... 45% 31.6M 21s 120300K .......... .......... .......... .......... .......... 45% 42.5M 21s 120350K .......... .......... .......... .......... .......... 45% 27.1M 21s 120400K .......... .......... .......... .......... .......... 45% 98.0M 21s 120450K .......... .......... .......... .......... .......... 45% 30.0M 21s 120500K .......... .......... .......... .......... .......... 45% 39.5M 21s 120550K .......... .......... .......... .......... .......... 45% 27.2M 21s 120600K .......... .......... .......... .......... .......... 45% 39.0M 21s 120650K .......... .......... .......... .......... .......... 45% 124M 21s 120700K .......... .......... .......... .......... .......... 45% 38.6M 21s 120750K .......... .......... .......... .......... .......... 45% 31.4M 21s 120800K .......... .......... .......... .......... .......... 45% 431K 21s 120850K .......... .......... .......... .......... .......... 45% 153M 21s 120900K .......... .......... .......... .......... .......... 45% 174M 21s 120950K .......... .......... .......... .......... .......... 45% 173M 21s 121000K .......... .......... .......... .......... .......... 45% 214M 21s 121050K .......... .......... .......... .......... .......... 45% 196M 21s 121100K .......... .......... .......... .......... .......... 45% 195M 21s 121150K .......... .......... .......... .......... .......... 45% 227M 21s 121200K .......... .......... .......... .......... .......... 45% 19.5M 21s 121250K .......... .......... .......... .......... .......... 45% 15.0M 21s 121300K .......... .......... .......... .......... .......... 46% 14.2M 21s 121350K .......... .......... .......... .......... .......... 46% 33.7M 21s 121400K .......... .......... .......... .......... .......... 46% 32.3M 21s 121450K .......... .......... .......... .......... .......... 46% 31.5M 21s 121500K .......... .......... .......... .......... .......... 46% 42.6M 21s 121550K .......... .......... .......... .......... .......... 46% 66.9M 21s 121600K .......... .......... .......... .......... .......... 46% 34.7M 21s 121650K .......... .......... .......... .......... .......... 46% 32.8M 21s 121700K .......... .......... .......... .......... .......... 46% 23.4M 21s 121750K .......... .......... .......... .......... .......... 46% 48.7M 21s 121800K .......... .......... .......... .......... .......... 46% 79.5M 21s 121850K .......... .......... .......... .......... .......... 46% 277K 21s 121900K .......... .......... .......... .......... .......... 46% 174M 21s 121950K .......... .......... .......... .......... .......... 46% 191M 21s 122000K .......... .......... .......... .......... .......... 46% 167M 21s 122050K .......... .......... .......... .......... .......... 46% 130M 21s 122100K .......... .......... .......... .......... .......... 46% 138M 21s 122150K .......... .......... .......... .......... .......... 46% 150M 21s 122200K .......... .......... .......... .......... .......... 46% 163M 21s 122250K .......... .......... .......... .......... .......... 46% 139M 21s 122300K .......... .......... .......... .......... .......... 46% 13.1M 21s 122350K .......... .......... .......... .......... .......... 46% 206M 21s 122400K .......... .......... .......... .......... .......... 46% 37.6M 21s 122450K .......... .......... .......... .......... .......... 46% 21.7M 21s 122500K .......... .......... .......... .......... .......... 46% 28.3M 21s 122550K .......... .......... .......... .......... .......... 46% 26.5M 21s 122600K .......... .......... .......... .......... .......... 46% 31.7M 21s 122650K .......... .......... .......... .......... .......... 46% 44.1M 21s 122700K .......... .......... .......... .......... .......... 46% 41.4M 21s 122750K .......... .......... .......... .......... .......... 46% 30.9M 21s 122800K .......... .......... .......... .......... .......... 46% 37.2M 21s 122850K .......... .......... .......... .......... .......... 46% 50.0M 21s 122900K .......... .......... .......... .......... .......... 46% 54.9M 21s 122950K .......... .......... .......... .......... .......... 46% 50.9M 21s 123000K .......... .......... .......... .......... .......... 46% 49.8M 21s 123050K .......... .......... .......... .......... .......... 46% 42.2M 21s 123100K .......... .......... .......... .......... .......... 46% 53.5M 21s 123150K .......... .......... .......... .......... .......... 46% 48.0M 21s 123200K .......... .......... .......... .......... .......... 46% 43.0M 21s 123250K .......... .......... .......... .......... .......... 46% 64.9M 21s 123300K .......... .......... .......... .......... .......... 46% 54.0M 20s 123350K .......... .......... .......... .......... .......... 46% 43.1M 20s 123400K .......... .......... .......... .......... .......... 46% 55.2M 20s 123450K .......... .......... .......... .......... .......... 46% 48.4M 20s 123500K .......... .......... .......... .......... .......... 46% 60.8M 20s 123550K .......... .......... .......... .......... .......... 46% 48.5M 20s 123600K .......... .......... .......... .......... .......... 46% 45.6M 20s 123650K .......... .......... .......... .......... .......... 46% 48.1M 20s 123700K .......... .......... .......... .......... .......... 46% 49.7M 20s 123750K .......... .......... .......... .......... .......... 46% 50.2M 20s 123800K .......... .......... .......... .......... .......... 46% 61.0M 20s 123850K .......... .......... .......... .......... .......... 46% 43.8M 20s 123900K .......... .......... .......... .......... .......... 47% 376K 20s 123950K .......... .......... .......... .......... .......... 47% 113M 20s 124000K .......... .......... .......... .......... .......... 47% 147M 20s 124050K .......... .......... .......... .......... .......... 47% 188M 20s 124100K .......... .......... .......... .......... .......... 47% 128M 20s 124150K .......... .......... .......... .......... .......... 47% 142M 20s 124200K .......... .......... .......... .......... .......... 47% 106M 20s 124250K .......... .......... .......... .......... .......... 47% 252M 20s 124300K .......... .......... .......... .......... .......... 47% 132M 20s 124350K .......... .......... .......... .......... .......... 47% 15.3M 20s 124400K .......... .......... .......... .......... .......... 47% 108M 20s 124450K .......... .......... .......... .......... .......... 47% 125M 20s 124500K .......... .......... .......... .......... .......... 47% 139M 20s 124550K .......... .......... .......... .......... .......... 47% 40.0M 20s 124600K .......... .......... .......... .......... .......... 47% 49.7M 20s 124650K .......... .......... .......... .......... .......... 47% 93.9M 20s 124700K .......... .......... .......... .......... .......... 47% 111M 20s 124750K .......... .......... .......... .......... .......... 47% 120M 20s 124800K .......... .......... .......... .......... .......... 47% 95.4M 20s 124850K .......... .......... .......... .......... .......... 47% 48.2M 20s 124900K .......... .......... .......... .......... .......... 47% 150K 21s 124950K .......... .......... .......... .......... .......... 47% 170M 21s 125000K .......... .......... .......... .......... .......... 47% 197M 21s 125050K .......... .......... .......... .......... .......... 47% 125M 20s 125100K .......... .......... .......... .......... .......... 47% 83.0M 20s 125150K .......... .......... .......... .......... .......... 47% 110M 20s 125200K .......... .......... .......... .......... .......... 47% 202M 20s 125250K .......... .......... .......... .......... .......... 47% 209M 20s 125300K .......... .......... .......... .......... .......... 47% 110M 20s 125350K .......... .......... .......... .......... .......... 47% 84.8M 20s 125400K .......... .......... .......... .......... .......... 47% 38.7M 20s 125450K .......... .......... .......... .......... .......... 47% 24.1M 20s 125500K .......... .......... .......... .......... .......... 47% 208M 20s 125550K .......... .......... .......... .......... .......... 47% 41.3M 20s 125600K .......... .......... .......... .......... .......... 47% 43.9M 20s 125650K .......... .......... .......... .......... .......... 47% 58.4M 20s 125700K .......... .......... .......... .......... .......... 47% 50.1M 20s 125750K .......... .......... .......... .......... .......... 47% 67.5M 20s 125800K .......... .......... .......... .......... .......... 47% 56.3M 20s 125850K .......... .......... .......... .......... .......... 47% 67.7M 20s 125900K .......... .......... .......... .......... .......... 47% 51.8M 20s 125950K .......... .......... .......... .......... .......... 47% 51.2M 20s 126000K .......... .......... .......... .......... .......... 47% 76.2M 20s 126050K .......... .......... .......... .......... .......... 47% 60.7M 20s 126100K .......... .......... .......... .......... .......... 47% 57.1M 20s 126150K .......... .......... .......... .......... .......... 47% 75.0M 20s 126200K .......... .......... .......... .......... .......... 47% 55.1M 20s 126250K .......... .......... .......... .......... .......... 47% 71.7M 20s 126300K .......... .......... .......... .......... .......... 47% 68.5M 20s 126350K .......... .......... .......... .......... .......... 47% 53.0M 20s 126400K .......... .......... .......... .......... .......... 47% 45.3M 20s 126450K .......... .......... .......... .......... .......... 47% 115M 20s 126500K .......... .......... .......... .......... .......... 47% 59.3M 20s 126550K .......... .......... .......... .......... .......... 48% 58.3M 20s 126600K .......... .......... .......... .......... .......... 48% 37.5M 20s 126650K .......... .......... .......... .......... .......... 48% 67.3M 20s 126700K .......... .......... .......... .......... .......... 48% 54.3M 20s 126750K .......... .......... .......... .......... .......... 48% 47.0M 20s 126800K .......... .......... .......... .......... .......... 48% 60.5M 20s 126850K .......... .......... .......... .......... .......... 48% 47.1M 20s 126900K .......... .......... .......... .......... .......... 48% 48.8M 20s 126950K .......... .......... .......... .......... .......... 48% 470K 20s 127000K .......... .......... .......... .......... .......... 48% 81.7M 20s 127050K .......... .......... .......... .......... .......... 48% 94.3M 20s 127100K .......... .......... .......... .......... .......... 48% 77.5M 20s 127150K .......... .......... .......... .......... .......... 48% 96.7M 20s 127200K .......... .......... .......... .......... .......... 48% 112M 20s 127250K .......... .......... .......... .......... .......... 48% 146M 20s 127300K .......... .......... .......... .......... .......... 48% 91.1M 20s 127350K .......... .......... .......... .......... .......... 48% 76.4M 20s 127400K .......... .......... .......... .......... .......... 48% 111M 20s 127450K .......... .......... .......... .......... .......... 48% 75.8M 20s 127500K .......... .......... .......... .......... .......... 48% 50.3M 20s 127550K .......... .......... .......... .......... .......... 48% 81.9M 20s 127600K .......... .......... .......... .......... .......... 48% 50.3M 20s 127650K .......... .......... .......... .......... .......... 48% 47.1M 20s 127700K .......... .......... .......... .......... .......... 48% 43.2M 20s 127750K .......... .......... .......... .......... .......... 48% 104M 20s 127800K .......... .......... .......... .......... .......... 48% 52.1M 20s 127850K .......... .......... .......... .......... .......... 48% 47.5M 20s 127900K .......... .......... .......... .......... .......... 48% 53.4M 20s 127950K .......... .......... .......... .......... .......... 48% 64.8M 20s 128000K .......... .......... .......... .......... .......... 48% 1.69M 20s 128050K .......... .......... .......... .......... .......... 48% 114M 20s 128100K .......... .......... .......... .......... .......... 48% 128M 20s 128150K .......... .......... .......... .......... .......... 48% 139M 20s 128200K .......... .......... .......... .......... .......... 48% 80.2M 20s 128250K .......... .......... .......... .......... .......... 48% 115M 20s 128300K .......... .......... .......... .......... .......... 48% 150M 20s 128350K .......... .......... .......... .......... .......... 48% 116M 20s 128400K .......... .......... .......... .......... .......... 48% 144M 20s 128450K .......... .......... .......... .......... .......... 48% 21.1M 20s 128500K .......... .......... .......... .......... .......... 48% 105M 20s 128550K .......... .......... .......... .......... .......... 48% 115M 20s 128600K .......... .......... .......... .......... .......... 48% 50.4M 20s 128650K .......... .......... .......... .......... .......... 48% 85.4M 20s 128700K .......... .......... .......... .......... .......... 48% 99.6M 20s 128750K .......... .......... .......... .......... .......... 48% 119M 20s 128800K .......... .......... .......... .......... .......... 48% 46.6M 20s 128850K .......... .......... .......... .......... .......... 48% 47.7M 20s 128900K .......... .......... .......... .......... .......... 48% 60.9M 20s 128950K .......... .......... .......... .......... .......... 48% 36.7M 20s 129000K .......... .......... .......... .......... .......... 48% 250K 20s 129050K .......... .......... .......... .......... .......... 48% 110M 20s 129100K .......... .......... .......... .......... .......... 48% 126M 20s 129150K .......... .......... .......... .......... .......... 48% 164M 20s 129200K .......... .......... .......... .......... .......... 49% 133M 20s 129250K .......... .......... .......... .......... .......... 49% 184M 20s 129300K .......... .......... .......... .......... .......... 49% 117M 20s 129350K .......... .......... .......... .......... .......... 49% 121M 20s 129400K .......... .......... .......... .......... .......... 49% 115M 20s 129450K .......... .......... .......... .......... .......... 49% 102M 20s 129500K .......... .......... .......... .......... .......... 49% 145M 20s 129550K .......... .......... .......... .......... .......... 49% 55.6M 20s 129600K .......... .......... .......... .......... .......... 49% 71.2M 20s 129650K .......... .......... .......... .......... .......... 49% 73.2M 20s 129700K .......... .......... .......... .......... .......... 49% 71.6M 20s 129750K .......... .......... .......... .......... .......... 49% 75.0M 19s 129800K .......... .......... .......... .......... .......... 49% 75.2M 19s 129850K .......... .......... .......... .......... .......... 49% 59.8M 19s 129900K .......... .......... .......... .......... .......... 49% 67.7M 19s 129950K .......... .......... .......... .......... .......... 49% 99.6M 19s 130000K .......... .......... .......... .......... .......... 49% 68.4M 19s 130050K .......... .......... .......... .......... .......... 49% 217K 20s 130100K .......... .......... .......... .......... .......... 49% 105M 20s 130150K .......... .......... .......... .......... .......... 49% 151M 20s 130200K .......... .......... .......... .......... .......... 49% 96.9M 20s 130250K .......... .......... .......... .......... .......... 49% 128M 20s 130300K .......... .......... .......... .......... .......... 49% 94.8M 20s 130350K .......... .......... .......... .......... .......... 49% 96.7M 20s 130400K .......... .......... .......... .......... .......... 49% 128M 20s 130450K .......... .......... .......... .......... .......... 49% 137M 20s 130500K .......... .......... .......... .......... .......... 49% 106M 20s 130550K .......... .......... .......... .......... .......... 49% 150M 20s 130600K .......... .......... .......... .......... .......... 49% 69.6M 19s 130650K .......... .......... .......... .......... .......... 49% 102M 19s 130700K .......... .......... .......... .......... .......... 49% 79.4M 19s 130750K .......... .......... .......... .......... .......... 49% 75.5M 19s 130800K .......... .......... .......... .......... .......... 49% 85.4M 19s 130850K .......... .......... .......... .......... .......... 49% 13.5M 19s 130900K .......... .......... .......... .......... .......... 49% 191M 19s 130950K .......... .......... .......... .......... .......... 49% 75.2M 19s 131000K .......... .......... .......... .......... .......... 49% 190M 19s 131050K .......... .......... .......... .......... .......... 49% 70.3M 19s 131100K .......... .......... .......... .......... .......... 49% 43.6M 19s 131150K .......... .......... .......... .......... .......... 49% 94.4M 19s 131200K .......... .......... .......... .......... .......... 49% 46.4M 19s 131250K .......... .......... .......... .......... .......... 49% 129M 19s 131300K .......... .......... .......... .......... .......... 49% 35.5M 19s 131350K .......... .......... .......... .......... .......... 49% 71.5M 19s 131400K .......... .......... .......... .......... .......... 49% 44.9M 19s 131450K .......... .......... .......... .......... .......... 49% 30.5M 19s 131500K .......... .......... .......... .......... .......... 49% 63.2M 19s 131550K .......... .......... .......... .......... .......... 49% 38.7M 19s 131600K .......... .......... .......... .......... .......... 49% 40.1M 19s 131650K .......... .......... .......... .......... .......... 49% 25.6M 19s 131700K .......... .......... .......... .......... .......... 49% 40.4M 19s 131750K .......... .......... .......... .......... .......... 49% 110M 19s 131800K .......... .......... .......... .......... .......... 49% 44.6M 19s 131850K .......... .......... .......... .......... .......... 50% 82.6M 19s 131900K .......... .......... .......... .......... .......... 50% 45.8M 19s 131950K .......... .......... .......... .......... .......... 50% 38.4M 19s 132000K .......... .......... .......... .......... .......... 50% 59.3M 19s 132050K .......... .......... .......... .......... .......... 50% 29.8M 19s 132100K .......... .......... .......... .......... .......... 50% 291K 19s 132150K .......... .......... .......... .......... .......... 50% 144M 19s 132200K .......... .......... .......... .......... .......... 50% 80.8M 19s 132250K .......... .......... .......... .......... .......... 50% 172M 19s 132300K .......... .......... .......... .......... .......... 50% 115M 19s 132350K .......... .......... .......... .......... .......... 50% 100M 19s 132400K .......... .......... .......... .......... .......... 50% 101M 19s 132450K .......... .......... .......... .......... .......... 50% 99.4M 19s 132500K .......... .......... .......... .......... .......... 50% 168M 19s 132550K .......... .......... .......... .......... .......... 50% 118M 19s 132600K .......... .......... .......... .......... .......... 50% 166M 19s 132650K .......... .......... .......... .......... .......... 50% 70.9M 19s 132700K .......... .......... .......... .......... .......... 50% 51.3M 19s 132750K .......... .......... .......... .......... .......... 50% 66.4M 19s 132800K .......... .......... .......... .......... .......... 50% 83.0M 19s 132850K .......... .......... .......... .......... .......... 50% 62.8M 19s 132900K .......... .......... .......... .......... .......... 50% 39.7M 19s 132950K .......... .......... .......... .......... .......... 50% 63.5M 19s 133000K .......... .......... .......... .......... .......... 50% 52.8M 19s 133050K .......... .......... .......... .......... .......... 50% 56.1M 19s 133100K .......... .......... .......... .......... .......... 50% 271K 19s 133150K .......... .......... .......... .......... .......... 50% 172M 19s 133200K .......... .......... .......... .......... .......... 50% 118M 19s 133250K .......... .......... .......... .......... .......... 50% 110M 19s 133300K .......... .......... .......... .......... .......... 50% 237M 19s 133350K .......... .......... .......... .......... .......... 50% 102M 19s 133400K .......... .......... .......... .......... .......... 50% 117M 19s 133450K .......... .......... .......... .......... .......... 50% 161M 19s 133500K .......... .......... .......... .......... .......... 50% 196M 19s 133550K .......... .......... .......... .......... .......... 50% 171M 19s 133600K .......... .......... .......... .......... .......... 50% 165M 19s 133650K .......... .......... .......... .......... .......... 50% 63.5M 19s 133700K .......... .......... .......... .......... .......... 50% 95.6M 19s 133750K .......... .......... .......... .......... .......... 50% 69.3M 19s 133800K .......... .......... .......... .......... .......... 50% 26.2M 19s 133850K .......... .......... .......... .......... .......... 50% 20.1M 19s 133900K .......... .......... .......... .......... .......... 50% 131M 19s 133950K .......... .......... .......... .......... .......... 50% 38.4M 19s 134000K .......... .......... .......... .......... .......... 50% 75.7M 19s 134050K .......... .......... .......... .......... .......... 50% 31.1M 19s 134100K .......... .......... .......... .......... .......... 50% 24.1M 19s 134150K .......... .......... .......... .......... .......... 50% 33.1M 19s 134200K .......... .......... .......... .......... .......... 50% 23.3M 19s 134250K .......... .......... .......... .......... .......... 50% 45.3M 19s 134300K .......... .......... .......... .......... .......... 50% 34.8M 19s 134350K .......... .......... .......... .......... .......... 50% 34.8M 19s 134400K .......... .......... .......... .......... .......... 50% 41.8M 19s 134450K .......... .......... .......... .......... .......... 51% 31.2M 19s 134500K .......... .......... .......... .......... .......... 51% 32.3M 19s 134550K .......... .......... .......... .......... .......... 51% 45.4M 19s 134600K .......... .......... .......... .......... .......... 51% 18.8M 19s 134650K .......... .......... .......... .......... .......... 51% 28.3M 19s 134700K .......... .......... .......... .......... .......... 51% 55.2M 19s 134750K .......... .......... .......... .......... .......... 51% 38.8M 19s 134800K .......... .......... .......... .......... .......... 51% 50.2M 19s 134850K .......... .......... .......... .......... .......... 51% 27.2M 19s 134900K .......... .......... .......... .......... .......... 51% 28.9M 19s 134950K .......... .......... .......... .......... .......... 51% 31.2M 19s 135000K .......... .......... .......... .......... .......... 51% 35.4M 19s 135050K .......... .......... .......... .......... .......... 51% 49.4M 19s 135100K .......... .......... .......... .......... .......... 51% 30.5M 19s 135150K .......... .......... .......... .......... .......... 51% 928K 19s 135200K .......... .......... .......... .......... .......... 51% 34.2M 19s 135250K .......... .......... .......... .......... .......... 51% 102M 19s 135300K .......... .......... .......... .......... .......... 51% 44.6M 19s 135350K .......... .......... .......... .......... .......... 51% 86.0M 19s 135400K .......... .......... .......... .......... .......... 51% 57.9M 19s 135450K .......... .......... .......... .......... .......... 51% 78.4M 19s 135500K .......... .......... .......... .......... .......... 51% 81.4M 19s 135550K .......... .......... .......... .......... .......... 51% 72.6M 19s 135600K .......... .......... .......... .......... .......... 51% 67.2M 19s 135650K .......... .......... .......... .......... .......... 51% 98.8M 19s 135700K .......... .......... .......... .......... .......... 51% 59.5M 19s 135750K .......... .......... .......... .......... .......... 51% 47.3M 19s 135800K .......... .......... .......... .......... .......... 51% 90.4M 18s 135850K .......... .......... .......... .......... .......... 51% 38.3M 18s 135900K .......... .......... .......... .......... .......... 51% 109M 18s 135950K .......... .......... .......... .......... .......... 51% 42.8M 18s 136000K .......... .......... .......... .......... .......... 51% 115M 18s 136050K .......... .......... .......... .......... .......... 51% 52.0M 18s 136100K .......... .......... .......... .......... .......... 51% 30.3M 18s 136150K .......... .......... .......... .......... .......... 51% 160K 19s 136200K .......... .......... .......... .......... .......... 51% 118M 19s 136250K .......... .......... .......... .......... .......... 51% 92.3M 19s 136300K .......... .......... .......... .......... .......... 51% 115M 19s 136350K .......... .......... .......... .......... .......... 51% 146M 19s 136400K .......... .......... .......... .......... .......... 51% 127M 19s 136450K .......... .......... .......... .......... .......... 51% 114M 19s 136500K .......... .......... .......... .......... .......... 51% 145M 19s 136550K .......... .......... .......... .......... .......... 51% 150M 19s 136600K .......... .......... .......... .......... .......... 51% 14.0M 19s 136650K .......... .......... .......... .......... .......... 51% 184M 19s 136700K .......... .......... .......... .......... .......... 51% 31.2M 19s 136750K .......... .......... .......... .......... .......... 51% 91.3M 19s 136800K .......... .......... .......... .......... .......... 51% 30.6M 19s 136850K .......... .......... .......... .......... .......... 51% 22.1M 19s 136900K .......... .......... .......... .......... .......... 51% 31.1M 18s 136950K .......... .......... .......... .......... .......... 51% 23.1M 18s 137000K .......... .......... .......... .......... .......... 51% 22.6M 18s 137050K .......... .......... .......... .......... .......... 51% 54.0M 18s 137100K .......... .......... .......... .......... .......... 52% 17.1M 18s 137150K .......... .......... .......... .......... .......... 52% 113M 18s 137200K .......... .......... .......... .......... .......... 52% 37.4M 18s 137250K .......... .......... .......... .......... .......... 52% 41.0M 18s 137300K .......... .......... .......... .......... .......... 52% 38.7M 18s 137350K .......... .......... .......... .......... .......... 52% 41.7M 18s 137400K .......... .......... .......... .......... .......... 52% 27.1M 18s 137450K .......... .......... .......... .......... .......... 52% 31.7M 18s 137500K .......... .......... .......... .......... .......... 52% 28.2M 18s 137550K .......... .......... .......... .......... .......... 52% 97.7M 18s 137600K .......... .......... .......... .......... .......... 52% 26.9M 18s 137650K .......... .......... .......... .......... .......... 52% 52.7M 18s 137700K .......... .......... .......... .......... .......... 52% 52.4M 18s 137750K .......... .......... .......... .......... .......... 52% 59.3M 18s 137800K .......... .......... .......... .......... .......... 52% 52.5M 18s 137850K .......... .......... .......... .......... .......... 52% 54.2M 18s 137900K .......... .......... .......... .......... .......... 52% 73.4M 18s 137950K .......... .......... .......... .......... .......... 52% 56.5M 18s 138000K .......... .......... .......... .......... .......... 52% 38.1M 18s 138050K .......... .......... .......... .......... .......... 52% 55.9M 18s 138100K .......... .......... .......... .......... .......... 52% 74.0M 18s 138150K .......... .......... .......... .......... .......... 52% 58.3M 18s 138200K .......... .......... .......... .......... .......... 52% 388K 18s 138250K .......... .......... .......... .......... .......... 52% 137M 18s 138300K .......... .......... .......... .......... .......... 52% 194M 18s 138350K .......... .......... .......... .......... .......... 52% 112M 18s 138400K .......... .......... .......... .......... .......... 52% 124M 18s 138450K .......... .......... .......... .......... .......... 52% 91.6M 18s 138500K .......... .......... .......... .......... .......... 52% 115M 18s 138550K .......... .......... .......... .......... .......... 52% 130M 18s 138600K .......... .......... .......... .......... .......... 52% 115M 18s 138650K .......... .......... .......... .......... .......... 52% 103M 18s 138700K .......... .......... .......... .......... .......... 52% 121M 18s 138750K .......... .......... .......... .......... .......... 52% 105M 18s 138800K .......... .......... .......... .......... .......... 52% 142M 18s 138850K .......... .......... .......... .......... .......... 52% 127M 18s 138900K .......... .......... .......... .......... .......... 52% 121M 18s 138950K .......... .......... .......... .......... .......... 52% 97.9M 18s 139000K .......... .......... .......... .......... .......... 52% 82.6M 18s 139050K .......... .......... .......... .......... .......... 52% 57.0M 18s 139100K .......... .......... .......... .......... .......... 52% 68.9M 18s 139150K .......... .......... .......... .......... .......... 52% 88.0M 18s 139200K .......... .......... .......... .......... .......... 52% 39.9M 18s 139250K .......... .......... .......... .......... .......... 52% 227K 18s 139300K .......... .......... .......... .......... .......... 52% 170M 18s 139350K .......... .......... .......... .......... .......... 52% 109M 18s 139400K .......... .......... .......... .......... .......... 52% 150M 18s 139450K .......... .......... .......... .......... .......... 52% 96.1M 18s 139500K .......... .......... .......... .......... .......... 52% 145M 18s 139550K .......... .......... .......... .......... .......... 52% 143M 18s 139600K .......... .......... .......... .......... .......... 52% 138M 18s 139650K .......... .......... .......... .......... .......... 52% 188M 18s 139700K .......... .......... .......... .......... .......... 52% 63.9M 18s 139750K .......... .......... .......... .......... .......... 53% 176M 18s 139800K .......... .......... .......... .......... .......... 53% 37.2M 18s 139850K .......... .......... .......... .......... .......... 53% 51.3M 18s 139900K .......... .......... .......... .......... .......... 53% 62.8M 18s 139950K .......... .......... .......... .......... .......... 53% 66.3M 18s 140000K .......... .......... .......... .......... .......... 53% 102M 18s 140050K .......... .......... .......... .......... .......... 53% 62.8M 18s 140100K .......... .......... .......... .......... .......... 53% 60.7M 18s 140150K .......... .......... .......... .......... .......... 53% 88.5M 18s 140200K .......... .......... .......... .......... .......... 53% 65.6M 18s 140250K .......... .......... .......... .......... .......... 53% 4.63M 18s 140300K .......... .......... .......... .......... .......... 53% 39.3M 18s 140350K .......... .......... .......... .......... .......... 53% 79.8M 18s 140400K .......... .......... .......... .......... .......... 53% 64.2M 18s 140450K .......... .......... .......... .......... .......... 53% 66.4M 18s 140500K .......... .......... .......... .......... .......... 53% 60.5M 18s 140550K .......... .......... .......... .......... .......... 53% 56.1M 18s 140600K .......... .......... .......... .......... .......... 53% 67.1M 18s 140650K .......... .......... .......... .......... .......... 53% 11.9M 18s 140700K .......... .......... .......... .......... .......... 53% 112M 18s 140750K .......... .......... .......... .......... .......... 53% 31.4M 18s 140800K .......... .......... .......... .......... .......... 53% 155M 18s 140850K .......... .......... .......... .......... .......... 53% 22.9M 18s 140900K .......... .......... .......... .......... .......... 53% 31.0M 18s 140950K .......... .......... .......... .......... .......... 53% 52.1M 18s 141000K .......... .......... .......... .......... .......... 53% 32.6M 18s 141050K .......... .......... .......... .......... .......... 53% 24.2M 18s 141100K .......... .......... .......... .......... .......... 53% 28.7M 18s 141150K .......... .......... .......... .......... .......... 53% 48.1M 18s 141200K .......... .......... .......... .......... .......... 53% 38.8M 18s 141250K .......... .......... .......... .......... .......... 53% 36.3M 18s 141300K .......... .......... .......... .......... .......... 53% 209K 18s 141350K .......... .......... .......... .......... .......... 53% 106M 18s 141400K .......... .......... .......... .......... .......... 53% 131M 18s 141450K .......... .......... .......... .......... .......... 53% 121M 18s 141500K .......... .......... .......... .......... .......... 53% 89.6M 18s 141550K .......... .......... .......... .......... .......... 53% 79.3M 18s 141600K .......... .......... .......... .......... .......... 53% 101M 18s 141650K .......... .......... .......... .......... .......... 53% 126M 18s 141700K .......... .......... .......... .......... .......... 53% 99.2M 18s 141750K .......... .......... .......... .......... .......... 53% 111M 18s 141800K .......... .......... .......... .......... .......... 53% 117M 18s 141850K .......... .......... .......... .......... .......... 53% 62.7M 18s 141900K .......... .......... .......... .......... .......... 53% 128M 18s 141950K .......... .......... .......... .......... .......... 53% 76.3M 18s 142000K .......... .......... .......... .......... .......... 53% 58.0M 18s 142050K .......... .......... .......... .......... .......... 53% 56.4M 18s 142100K .......... .......... .......... .......... .......... 53% 36.8M 18s 142150K .......... .......... .......... .......... .......... 53% 52.5M 18s 142200K .......... .......... .......... .......... .......... 53% 40.6M 18s 142250K .......... .......... .......... .......... .......... 53% 65.6M 18s 142300K .......... .......... .......... .......... .......... 53% 37.6M 18s 142350K .......... .......... .......... .......... .......... 53% 35.6M 18s 142400K .......... .......... .......... .......... .......... 54% 53.7M 18s 142450K .......... .......... .......... .......... .......... 54% 45.1M 18s 142500K .......... .......... .......... .......... .......... 54% 59.1M 18s 142550K .......... .......... .......... .......... .......... 54% 64.2M 18s 142600K .......... .......... .......... .......... .......... 54% 39.2M 18s 142650K .......... .......... .......... .......... .......... 54% 83.2M 18s 142700K .......... .......... .......... .......... .......... 54% 42.9M 18s 142750K .......... .......... .......... .......... .......... 54% 50.4M 18s 142800K .......... .......... .......... .......... .......... 54% 41.4M 17s 142850K .......... .......... .......... .......... .......... 54% 38.1M 17s 142900K .......... .......... .......... .......... .......... 54% 89.4M 17s 142950K .......... .......... .......... .......... .......... 54% 43.1M 17s 143000K .......... .......... .......... .......... .......... 54% 44.3M 17s 143050K .......... .......... .......... .......... .......... 54% 64.0M 17s 143100K .......... .......... .......... .......... .......... 54% 48.8M 17s 143150K .......... .......... .......... .......... .......... 54% 58.0M 17s 143200K .......... .......... .......... .......... .......... 54% 43.2M 17s 143250K .......... .......... .......... .......... .......... 54% 48.4M 17s 143300K .......... .......... .......... .......... .......... 54% 53.0M 17s 143350K .......... .......... .......... .......... .......... 54% 324K 17s 143400K .......... .......... .......... .......... .......... 54% 118M 17s 143450K .......... .......... .......... .......... .......... 54% 98.4M 17s 143500K .......... .......... .......... .......... .......... 54% 107M 17s 143550K .......... .......... .......... .......... .......... 54% 102M 17s 143600K .......... .......... .......... .......... .......... 54% 144M 17s 143650K .......... .......... .......... .......... .......... 54% 125M 17s 143700K .......... .......... .......... .......... .......... 54% 146M 17s 143750K .......... .......... .......... .......... .......... 54% 69.8M 17s 143800K .......... .......... .......... .......... .......... 54% 89.9M 17s 143850K .......... .......... .......... .......... .......... 54% 86.7M 17s 143900K .......... .......... .......... .......... .......... 54% 54.3M 17s 143950K .......... .......... .......... .......... .......... 54% 16.6M 17s 144000K .......... .......... .......... .......... .......... 54% 27.0M 17s 144050K .......... .......... .......... .......... .......... 54% 27.1M 17s 144100K .......... .......... .......... .......... .......... 54% 20.5M 17s 144150K .......... .......... .......... .......... .......... 54% 21.9M 17s 144200K .......... .......... .......... .......... .......... 54% 21.3M 17s 144250K .......... .......... .......... .......... .......... 54% 38.1M 17s 144300K .......... .......... .......... .......... .......... 54% 31.3M 17s 144350K .......... .......... .......... .......... .......... 54% 380K 17s 144400K .......... .......... .......... .......... .......... 54% 145M 17s 144450K .......... .......... .......... .......... .......... 54% 112M 17s 144500K .......... .......... .......... .......... .......... 54% 133M 17s 144550K .......... .......... .......... .......... .......... 54% 98.8M 17s 144600K .......... .......... .......... .......... .......... 54% 16.9M 17s 144650K .......... .......... .......... .......... .......... 54% 124M 17s 144700K .......... .......... .......... .......... .......... 54% 38.6M 17s 144750K .......... .......... .......... .......... .......... 54% 23.3M 17s 144800K .......... .......... .......... .......... .......... 54% 20.9M 17s 144850K .......... .......... .......... .......... .......... 54% 58.8M 17s 144900K .......... .......... .......... .......... .......... 54% 42.2M 17s 144950K .......... .......... .......... .......... .......... 54% 21.1M 17s 145000K .......... .......... .......... .......... .......... 55% 26.5M 17s 145050K .......... .......... .......... .......... .......... 55% 36.8M 17s 145100K .......... .......... .......... .......... .......... 55% 23.6M 17s 145150K .......... .......... .......... .......... .......... 55% 28.6M 17s 145200K .......... .......... .......... .......... .......... 55% 26.8M 17s 145250K .......... .......... .......... .......... .......... 55% 21.5M 17s 145300K .......... .......... .......... .......... .......... 55% 11.6M 17s 145350K .......... .......... .......... .......... .......... 55% 35.2M 17s 145400K .......... .......... .......... .......... .......... 55% 19.3M 17s 145450K .......... .......... .......... .......... .......... 55% 17.6M 17s 145500K .......... .......... .......... .......... .......... 55% 23.7M 17s 145550K .......... .......... .......... .......... .......... 55% 27.6M 17s 145600K .......... .......... .......... .......... .......... 55% 18.4M 17s 145650K .......... .......... .......... .......... .......... 55% 23.9M 17s 145700K .......... .......... .......... .......... .......... 55% 14.2M 17s 145750K .......... .......... .......... .......... .......... 55% 14.1M 17s 145800K .......... .......... .......... .......... .......... 55% 22.1M 17s 145850K .......... .......... .......... .......... .......... 55% 19.0M 17s 145900K .......... .......... .......... .......... .......... 55% 8.47M 17s 145950K .......... .......... .......... .......... .......... 55% 17.8M 17s 146000K .......... .......... .......... .......... .......... 55% 29.0M 17s 146050K .......... .......... .......... .......... .......... 55% 24.4M 17s 146100K .......... .......... .......... .......... .......... 55% 20.8M 17s 146150K .......... .......... .......... .......... .......... 55% 25.7M 17s 146200K .......... .......... .......... .......... .......... 55% 18.9M 17s 146250K .......... .......... .......... .......... .......... 55% 25.8M 17s 146300K .......... .......... .......... .......... .......... 55% 22.2M 17s 146350K .......... .......... .......... .......... .......... 55% 22.8M 17s 146400K .......... .......... .......... .......... .......... 55% 280K 17s 146450K .......... .......... .......... .......... .......... 55% 95.2M 17s 146500K .......... .......... .......... .......... .......... 55% 26.8M 17s 146550K .......... .......... .......... .......... .......... 55% 58.6M 17s 146600K .......... .......... .......... .......... .......... 55% 37.1M 17s 146650K .......... .......... .......... .......... .......... 55% 52.6M 17s 146700K .......... .......... .......... .......... .......... 55% 39.1M 17s 146750K .......... .......... .......... .......... .......... 55% 56.6M 17s 146800K .......... .......... .......... .......... .......... 55% 57.2M 17s 146850K .......... .......... .......... .......... .......... 55% 44.7M 17s 146900K .......... .......... .......... .......... .......... 55% 45.5M 17s 146950K .......... .......... .......... .......... .......... 55% 36.0M 17s 147000K .......... .......... .......... .......... .......... 55% 44.7M 17s 147050K .......... .......... .......... .......... .......... 55% 45.7M 17s 147100K .......... .......... .......... .......... .......... 55% 39.9M 17s 147150K .......... .......... .......... .......... .......... 55% 45.4M 17s 147200K .......... .......... .......... .......... .......... 55% 37.4M 17s 147250K .......... .......... .......... .......... .......... 55% 44.2M 17s 147300K .......... .......... .......... .......... .......... 55% 49.3M 17s 147350K .......... .......... .......... .......... .......... 55% 37.4M 17s 147400K .......... .......... .......... .......... .......... 55% 45.9M 17s 147450K .......... .......... .......... .......... .......... 55% 456K 17s 147500K .......... .......... .......... .......... .......... 55% 158M 17s 147550K .......... .......... .......... .......... .......... 55% 44.7M 17s 147600K .......... .......... .......... .......... .......... 55% 25.1M 17s 147650K .......... .......... .......... .......... .......... 56% 36.1M 17s 147700K .......... .......... .......... .......... .......... 56% 33.8M 17s 147750K .......... .......... .......... .......... .......... 56% 40.6M 17s 147800K .......... .......... .......... .......... .......... 56% 34.1M 17s 147850K .......... .......... .......... .......... .......... 56% 27.1M 17s 147900K .......... .......... .......... .......... .......... 56% 33.4M 17s 147950K .......... .......... .......... .......... .......... 56% 31.5M 17s 148000K .......... .......... .......... .......... .......... 56% 36.9M 17s 148050K .......... .......... .......... .......... .......... 56% 99.6M 17s 148100K .......... .......... .......... .......... .......... 56% 20.4M 17s 148150K .......... .......... .......... .......... .......... 56% 91.8M 17s 148200K .......... .......... .......... .......... .......... 56% 11.9M 17s 148250K .......... .......... .......... .......... .......... 56% 124M 17s 148300K .......... .......... .......... .......... .......... 56% 144M 17s 148350K .......... .......... .......... .......... .......... 56% 43.4M 17s 148400K .......... .......... .......... .......... .......... 56% 30.6M 17s 148450K .......... .......... .......... .......... .......... 56% 26.1M 17s 148500K .......... .......... .......... .......... .......... 56% 42.1M 17s 148550K .......... .......... .......... .......... .......... 56% 39.5M 17s 148600K .......... .......... .......... .......... .......... 56% 26.5M 17s 148650K .......... .......... .......... .......... .......... 56% 38.6M 17s 148700K .......... .......... .......... .......... .......... 56% 40.4M 17s 148750K .......... .......... .......... .......... .......... 56% 42.2M 17s 148800K .......... .......... .......... .......... .......... 56% 36.6M 17s 148850K .......... .......... .......... .......... .......... 56% 34.2M 17s 148900K .......... .......... .......... .......... .......... 56% 30.7M 17s 148950K .......... .......... .......... .......... .......... 56% 39.6M 16s 149000K .......... .......... .......... .......... .......... 56% 42.6M 16s 149050K .......... .......... .......... .......... .......... 56% 47.9M 16s 149100K .......... .......... .......... .......... .......... 56% 32.1M 16s 149150K .......... .......... .......... .......... .......... 56% 37.2M 16s 149200K .......... .......... .......... .......... .......... 56% 29.0M 16s 149250K .......... .......... .......... .......... .......... 56% 35.8M 16s 149300K .......... .......... .......... .......... .......... 56% 48.9M 16s 149350K .......... .......... .......... .......... .......... 56% 34.7M 16s 149400K .......... .......... .......... .......... .......... 56% 44.2M 16s 149450K .......... .......... .......... .......... .......... 56% 30.7M 16s 149500K .......... .......... .......... .......... .......... 56% 225K 17s 149550K .......... .......... .......... .......... .......... 56% 126M 17s 149600K .......... .......... .......... .......... .......... 56% 126M 17s 149650K .......... .......... .......... .......... .......... 56% 134M 17s 149700K .......... .......... .......... .......... .......... 56% 104M 16s 149750K .......... .......... .......... .......... .......... 56% 124M 16s 149800K .......... .......... .......... .......... .......... 56% 136M 16s 149850K .......... .......... .......... .......... .......... 56% 131M 16s 149900K .......... .......... .......... .......... .......... 56% 113M 16s 149950K .......... .......... .......... .......... .......... 56% 21.3M 16s 150000K .......... .......... .......... .......... .......... 56% 141M 16s 150050K .......... .......... .......... .......... .......... 56% 147M 16s 150100K .......... .......... .......... .......... .......... 56% 34.3M 16s 150150K .......... .......... .......... .......... .......... 56% 47.3M 16s 150200K .......... .......... .......... .......... .......... 56% 38.8M 16s 150250K .......... .......... .......... .......... .......... 56% 48.3M 16s 150300K .......... .......... .......... .......... .......... 57% 41.4M 16s 150350K .......... .......... .......... .......... .......... 57% 47.2M 16s 150400K .......... .......... .......... .......... .......... 57% 39.6M 16s 150450K .......... .......... .......... .......... .......... 57% 40.9M 16s 150500K .......... .......... .......... .......... .......... 57% 330K 16s 150550K .......... .......... .......... .......... .......... 57% 146M 16s 150600K .......... .......... .......... .......... .......... 57% 103M 16s 150650K .......... .......... .......... .......... .......... 57% 121M 16s 150700K .......... .......... .......... .......... .......... 57% 92.3M 16s 150750K .......... .......... .......... .......... .......... 57% 148M 16s 150800K .......... .......... .......... .......... .......... 57% 146M 16s 150850K .......... .......... .......... .......... .......... 57% 124M 16s 150900K .......... .......... .......... .......... .......... 57% 127M 16s 150950K .......... .......... .......... .......... .......... 57% 33.3M 16s 151000K .......... .......... .......... .......... .......... 57% 159M 16s 151050K .......... .......... .......... .......... .......... 57% 34.3M 16s 151100K .......... .......... .......... .......... .......... 57% 38.8M 16s 151150K .......... .......... .......... .......... .......... 57% 39.4M 16s 151200K .......... .......... .......... .......... .......... 57% 41.0M 16s 151250K .......... .......... .......... .......... .......... 57% 27.3M 16s 151300K .......... .......... .......... .......... .......... 57% 45.0M 16s 151350K .......... .......... .......... .......... .......... 57% 41.0M 16s 151400K .......... .......... .......... .......... .......... 57% 39.5M 16s 151450K .......... .......... .......... .......... .......... 57% 23.5M 16s 151500K .......... .......... .......... .......... .......... 57% 45.8M 16s 151550K .......... .......... .......... .......... .......... 57% 3.50M 16s 151600K .......... .......... .......... .......... .......... 57% 43.2M 16s 151650K .......... .......... .......... .......... .......... 57% 49.2M 16s 151700K .......... .......... .......... .......... .......... 57% 26.0M 16s 151750K .......... .......... .......... .......... .......... 57% 35.5M 16s 151800K .......... .......... .......... .......... .......... 57% 41.4M 16s 151850K .......... .......... .......... .......... .......... 57% 43.4M 16s 151900K .......... .......... .......... .......... .......... 57% 100M 16s 151950K .......... .......... .......... .......... .......... 57% 30.5M 16s 152000K .......... .......... .......... .......... .......... 57% 20.8M 16s 152050K .......... .......... .......... .......... .......... 57% 18.4M 16s 152100K .......... .......... .......... .......... .......... 57% 59.5M 16s 152150K .......... .......... .......... .......... .......... 57% 173M 16s 152200K .......... .......... .......... .......... .......... 57% 107M 16s 152250K .......... .......... .......... .......... .......... 57% 93.4M 16s 152300K .......... .......... .......... .......... .......... 57% 48.0M 16s 152350K .......... .......... .......... .......... .......... 57% 40.9M 16s 152400K .......... .......... .......... .......... .......... 57% 32.7M 16s 152450K .......... .......... .......... .......... .......... 57% 27.8M 16s 152500K .......... .......... .......... .......... .......... 57% 50.2M 16s 152550K .......... .......... .......... .......... .......... 57% 266K 16s 152600K .......... .......... .......... .......... .......... 57% 170M 16s 152650K .......... .......... .......... .......... .......... 57% 46.7M 16s 152700K .......... .......... .......... .......... .......... 57% 36.1M 16s 152750K .......... .......... .......... .......... .......... 57% 34.3M 16s 152800K .......... .......... .......... .......... .......... 57% 102M 16s 152850K .......... .......... .......... .......... .......... 57% 69.3M 16s 152900K .......... .......... .......... .......... .......... 57% 35.1M 16s 152950K .......... .......... .......... .......... .......... 58% 39.8M 16s 153000K .......... .......... .......... .......... .......... 58% 39.9M 16s 153050K .......... .......... .......... .......... .......... 58% 43.1M 16s 153100K .......... .......... .......... .......... .......... 58% 24.7M 16s 153150K .......... .......... .......... .......... .......... 58% 41.4M 16s 153200K .......... .......... .......... .......... .......... 58% 38.3M 16s 153250K .......... .......... .......... .......... .......... 58% 34.1M 16s 153300K .......... .......... .......... .......... .......... 58% 44.4M 16s 153350K .......... .......... .......... .......... .......... 58% 36.2M 16s 153400K .......... .......... .......... .......... .......... 58% 43.3M 16s 153450K .......... .......... .......... .......... .......... 58% 31.0M 16s 153500K .......... .......... .......... .......... .......... 58% 47.5M 16s 153550K .......... .......... .......... .......... .......... 58% 47.7M 16s 153600K .......... .......... .......... .......... .......... 58% 316K 16s 153650K .......... .......... .......... .......... .......... 58% 158M 16s 153700K .......... .......... .......... .......... .......... 58% 158M 16s 153750K .......... .......... .......... .......... .......... 58% 167M 16s 153800K .......... .......... .......... .......... .......... 58% 194M 16s 153850K .......... .......... .......... .......... .......... 58% 113M 16s 153900K .......... .......... .......... .......... .......... 58% 153M 16s 153950K .......... .......... .......... .......... .......... 58% 124M 16s 154000K .......... .......... .......... .......... .......... 58% 148M 16s 154050K .......... .......... .......... .......... .......... 58% 40.7M 16s 154100K .......... .......... .......... .......... .......... 58% 35.6M 16s 154150K .......... .......... .......... .......... .......... 58% 35.7M 16s 154200K .......... .......... .......... .......... .......... 58% 26.3M 16s 154250K .......... .......... .......... .......... .......... 58% 49.2M 16s 154300K .......... .......... .......... .......... .......... 58% 33.7M 16s 154350K .......... .......... .......... .......... .......... 58% 22.4M 16s 154400K .......... .......... .......... .......... .......... 58% 39.5M 16s 154450K .......... .......... .......... .......... .......... 58% 67.5M 16s 154500K .......... .......... .......... .......... .......... 58% 68.3M 16s 154550K .......... .......... .......... .......... .......... 58% 37.3M 16s 154600K .......... .......... .......... .......... .......... 58% 440K 16s 154650K .......... .......... .......... .......... .......... 58% 138M 16s 154700K .......... .......... .......... .......... .......... 58% 121M 16s 154750K .......... .......... .......... .......... .......... 58% 114M 16s 154800K .......... .......... .......... .......... .......... 58% 210M 16s 154850K .......... .......... .......... .......... .......... 58% 128M 16s 154900K .......... .......... .......... .......... .......... 58% 137M 16s 154950K .......... .......... .......... .......... .......... 58% 102M 16s 155000K .......... .......... .......... .......... .......... 58% 123M 16s 155050K .......... .......... .......... .......... .......... 58% 117M 16s 155100K .......... .......... .......... .......... .......... 58% 44.6M 16s 155150K .......... .......... .......... .......... .......... 58% 55.9M 16s 155200K .......... .......... .......... .......... .......... 58% 38.1M 16s 155250K .......... .......... .......... .......... .......... 58% 48.0M 16s 155300K .......... .......... .......... .......... .......... 58% 57.9M 16s 155350K .......... .......... .......... .......... .......... 58% 36.9M 16s 155400K .......... .......... .......... .......... .......... 58% 45.5M 16s 155450K .......... .......... .......... .......... .......... 58% 37.7M 16s 155500K .......... .......... .......... .......... .......... 58% 56.5M 16s 155550K .......... .......... .......... .......... .......... 59% 47.3M 16s 155600K .......... .......... .......... .......... .......... 59% 490K 16s 155650K .......... .......... .......... .......... .......... 59% 113M 16s 155700K .......... .......... .......... .......... .......... 59% 38.5M 16s 155750K .......... .......... .......... .......... .......... 59% 39.2M 16s 155800K .......... .......... .......... .......... .......... 59% 43.8M 16s 155850K .......... .......... .......... .......... .......... 59% 35.2M 16s 155900K .......... .......... .......... .......... .......... 59% 43.4M 16s 155950K .......... .......... .......... .......... .......... 59% 31.4M 16s 156000K .......... .......... .......... .......... .......... 59% 26.6M 16s 156050K .......... .......... .......... .......... .......... 59% 41.6M 16s 156100K .......... .......... .......... .......... .......... 59% 28.8M 16s 156150K .......... .......... .......... .......... .......... 59% 33.5M 15s 156200K .......... .......... .......... .......... .......... 59% 28.9M 15s 156250K .......... .......... .......... .......... .......... 59% 34.1M 15s 156300K .......... .......... .......... .......... .......... 59% 29.6M 15s 156350K .......... .......... .......... .......... .......... 59% 20.0M 15s 156400K .......... .......... .......... .......... .......... 59% 24.4M 15s 156450K .......... .......... .......... .......... .......... 59% 24.6M 15s 156500K .......... .......... .......... .......... .......... 59% 29.5M 15s 156550K .......... .......... .......... .......... .......... 59% 30.0M 15s 156600K .......... .......... .......... .......... .......... 59% 25.4M 15s 156650K .......... .......... .......... .......... .......... 59% 161K 16s 156700K .......... .......... .......... .......... .......... 59% 140M 16s 156750K .......... .......... .......... .......... .......... 59% 105M 16s 156800K .......... .......... .......... .......... .......... 59% 111M 16s 156850K .......... .......... .......... .......... .......... 59% 89.6M 16s 156900K .......... .......... .......... .......... .......... 59% 137M 16s 156950K .......... .......... .......... .......... .......... 59% 130M 16s 157000K .......... .......... .......... .......... .......... 59% 203M 16s 157050K .......... .......... .......... .......... .......... 59% 147M 16s 157100K .......... .......... .......... .......... .......... 59% 117M 15s 157150K .......... .......... .......... .......... .......... 59% 37.8M 15s 157200K .......... .......... .......... .......... .......... 59% 36.5M 15s 157250K .......... .......... .......... .......... .......... 59% 52.2M 15s 157300K .......... .......... .......... .......... .......... 59% 41.7M 15s 157350K .......... .......... .......... .......... .......... 59% 28.0M 15s 157400K .......... .......... .......... .......... .......... 59% 44.3M 15s 157450K .......... .......... .......... .......... .......... 59% 32.3M 15s 157500K .......... .......... .......... .......... .......... 59% 34.6M 15s 157550K .......... .......... .......... .......... .......... 59% 40.1M 15s 157600K .......... .......... .......... .......... .......... 59% 27.3M 15s 157650K .......... .......... .......... .......... .......... 59% 48.2M 15s 157700K .......... .......... .......... .......... .......... 59% 32.5M 15s 157750K .......... .......... .......... .......... .......... 59% 47.6M 15s 157800K .......... .......... .......... .......... .......... 59% 33.6M 15s 157850K .......... .......... .......... .......... .......... 59% 38.6M 15s 157900K .......... .......... .......... .......... .......... 59% 52.3M 15s 157950K .......... .......... .......... .......... .......... 59% 60.1M 15s 158000K .......... .......... .......... .......... .......... 59% 80.8M 15s 158050K .......... .......... .......... .......... .......... 59% 95.9M 15s 158100K .......... .......... .......... .......... .......... 59% 67.4M 15s 158150K .......... .......... .......... .......... .......... 59% 111M 15s 158200K .......... .......... .......... .......... .......... 60% 26.0M 15s 158250K .......... .......... .......... .......... .......... 60% 117M 15s 158300K .......... .......... .......... .......... .......... 60% 117M 15s 158350K .......... .......... .......... .......... .......... 60% 109M 15s 158400K .......... .......... .......... .......... .......... 60% 129M 15s 158450K .......... .......... .......... .......... .......... 60% 29.4M 15s 158500K .......... .......... .......... .......... .......... 60% 109M 15s 158550K .......... .......... .......... .......... .......... 60% 101M 15s 158600K .......... .......... .......... .......... .......... 60% 141M 15s 158650K .......... .......... .......... .......... .......... 60% 114M 15s 158700K .......... .......... .......... .......... .......... 60% 238K 15s 158750K .......... .......... .......... .......... .......... 60% 210M 15s 158800K .......... .......... .......... .......... .......... 60% 151M 15s 158850K .......... .......... .......... .......... .......... 60% 148M 15s 158900K .......... .......... .......... .......... .......... 60% 104M 15s 158950K .......... .......... .......... .......... .......... 60% 100M 15s 159000K .......... .......... .......... .......... .......... 60% 149M 15s 159050K .......... .......... .......... .......... .......... 60% 108M 15s 159100K .......... .......... .......... .......... .......... 60% 116M 15s 159150K .......... .......... .......... .......... .......... 60% 95.9M 15s 159200K .......... .......... .......... .......... .......... 60% 69.1M 15s 159250K .......... .......... .......... .......... .......... 60% 70.1M 15s 159300K .......... .......... .......... .......... .......... 60% 84.6M 15s 159350K .......... .......... .......... .......... .......... 60% 38.9M 15s 159400K .......... .......... .......... .......... .......... 60% 43.5M 15s 159450K .......... .......... .......... .......... .......... 60% 91.4M 15s 159500K .......... .......... .......... .......... .......... 60% 46.0M 15s 159550K .......... .......... .......... .......... .......... 60% 77.9M 15s 159600K .......... .......... .......... .......... .......... 60% 35.1M 15s 159650K .......... .......... .......... .......... .......... 60% 123M 15s 159700K .......... .......... .......... .......... .......... 60% 67.4M 15s 159750K .......... .......... .......... .......... .......... 60% 62.9M 15s 159800K .......... .......... .......... .......... .......... 60% 49.3M 15s 159850K .......... .......... .......... .......... .......... 60% 58.5M 15s 159900K .......... .......... .......... .......... .......... 60% 78.1M 15s 159950K .......... .......... .......... .......... .......... 60% 50.1M 15s 160000K .......... .......... .......... .......... .......... 60% 64.6M 15s 160050K .......... .......... .......... .......... .......... 60% 44.7M 15s 160100K .......... .......... .......... .......... .......... 60% 54.3M 15s 160150K .......... .......... .......... .......... .......... 60% 59.2M 15s 160200K .......... .......... .......... .......... .......... 60% 51.8M 15s 160250K .......... .......... .......... .......... .......... 60% 70.3M 15s 160300K .......... .......... .......... .......... .......... 60% 54.7M 15s 160350K .......... .......... .......... .......... .......... 60% 52.4M 15s 160400K .......... .......... .......... .......... .......... 60% 61.7M 15s 160450K .......... .......... .......... .......... .......... 60% 49.3M 15s 160500K .......... .......... .......... .......... .......... 60% 75.9M 15s 160550K .......... .......... .......... .......... .......... 60% 65.1M 15s 160600K .......... .......... .......... .......... .......... 60% 37.9M 15s 160650K .......... .......... .......... .......... .......... 60% 57.9M 15s 160700K .......... .......... .......... .......... .......... 60% 53.7M 15s 160750K .......... .......... .......... .......... .......... 60% 132K 15s 160800K .......... .......... .......... .......... .......... 60% 132M 15s 160850K .......... .......... .......... .......... .......... 61% 138M 15s 160900K .......... .......... .......... .......... .......... 61% 104M 15s 160950K .......... .......... .......... .......... .......... 61% 105M 15s 161000K .......... .......... .......... .......... .......... 61% 132M 15s 161050K .......... .......... .......... .......... .......... 61% 109M 15s 161100K .......... .......... .......... .......... .......... 61% 128M 15s 161150K .......... .......... .......... .......... .......... 61% 39.7M 15s 161200K .......... .......... .......... .......... .......... 61% 22.6M 15s 161250K .......... .......... .......... .......... .......... 61% 29.2M 15s 161300K .......... .......... .......... .......... .......... 61% 22.2M 15s 161350K .......... .......... .......... .......... .......... 61% 32.8M 15s 161400K .......... .......... .......... .......... .......... 61% 24.4M 15s 161450K .......... .......... .......... .......... .......... 61% 18.3M 15s 161500K .......... .......... .......... .......... .......... 61% 38.6M 15s 161550K .......... .......... .......... .......... .......... 61% 29.6M 15s 161600K .......... .......... .......... .......... .......... 61% 19.0M 15s 161650K .......... .......... .......... .......... .......... 61% 34.0M 15s 161700K .......... .......... .......... .......... .......... 61% 20.1M 15s 161750K .......... .......... .......... .......... .......... 61% 258K 15s 161800K .......... .......... .......... .......... .......... 61% 147M 15s 161850K .......... .......... .......... .......... .......... 61% 115M 15s 161900K .......... .......... .......... .......... .......... 61% 146M 15s 161950K .......... .......... .......... .......... .......... 61% 118M 15s 162000K .......... .......... .......... .......... .......... 61% 132M 15s 162050K .......... .......... .......... .......... .......... 61% 134M 15s 162100K .......... .......... .......... .......... .......... 61% 125M 15s 162150K .......... .......... .......... .......... .......... 61% 151M 15s 162200K .......... .......... .......... .......... .......... 61% 17.2M 15s 162250K .......... .......... .......... .......... .......... 61% 125M 15s 162300K .......... .......... .......... .......... .......... 61% 41.1M 15s 162350K .......... .......... .......... .......... .......... 61% 31.9M 15s 162400K .......... .......... .......... .......... .......... 61% 27.9M 15s 162450K .......... .......... .......... .......... .......... 61% 34.1M 15s 162500K .......... .......... .......... .......... .......... 61% 33.0M 15s 162550K .......... .......... .......... .......... .......... 61% 37.1M 15s 162600K .......... .......... .......... .......... .......... 61% 33.9M 15s 162650K .......... .......... .......... .......... .......... 61% 48.7M 15s 162700K .......... .......... .......... .......... .......... 61% 38.4M 15s 162750K .......... .......... .......... .......... .......... 61% 46.5M 15s 162800K .......... .......... .......... .......... .......... 61% 39.2M 15s 162850K .......... .......... .......... .......... .......... 61% 37.0M 15s 162900K .......... .......... .......... .......... .......... 61% 33.6M 15s 162950K .......... .......... .......... .......... .......... 61% 38.1M 15s 163000K .......... .......... .......... .......... .......... 61% 51.7M 15s 163050K .......... .......... .......... .......... .......... 61% 43.7M 15s 163100K .......... .......... .......... .......... .......... 61% 33.8M 15s 163150K .......... .......... .......... .......... .......... 61% 38.8M 15s 163200K .......... .......... .......... .......... .......... 61% 40.6M 15s 163250K .......... .......... .......... .......... .......... 61% 42.4M 15s 163300K .......... .......... .......... .......... .......... 61% 53.1M 15s 163350K .......... .......... .......... .......... .......... 61% 34.6M 15s 163400K .......... .......... .......... .......... .......... 61% 50.3M 15s 163450K .......... .......... .......... .......... .......... 61% 35.0M 15s 163500K .......... .......... .......... .......... .......... 62% 47.3M 15s 163550K .......... .......... .......... .......... .......... 62% 47.2M 15s 163600K .......... .......... .......... .......... .......... 62% 50.2M 15s 163650K .......... .......... .......... .......... .......... 62% 96.7M 15s 163700K .......... .......... .......... .......... .......... 62% 42.8M 15s 163750K .......... .......... .......... .......... .......... 62% 105M 14s 163800K .......... .......... .......... .......... .......... 62% 158K 15s 163850K .......... .......... .......... .......... .......... 62% 80.6M 15s 163900K .......... .......... .......... .......... .......... 62% 92.0M 15s 163950K .......... .......... .......... .......... .......... 62% 102M 15s 164000K .......... .......... .......... .......... .......... 62% 181M 15s 164050K .......... .......... .......... .......... .......... 62% 117M 15s 164100K .......... .......... .......... .......... .......... 62% 155M 15s 164150K .......... .......... .......... .......... .......... 62% 195M 15s 164200K .......... .......... .......... .......... .......... 62% 145M 15s 164250K .......... .......... .......... .......... .......... 62% 187M 15s 164300K .......... .......... .......... .......... .......... 62% 15.7M 15s 164350K .......... .......... .......... .......... .......... 62% 183M 15s 164400K .......... .......... .......... .......... .......... 62% 157M 15s 164450K .......... .......... .......... .......... .......... 62% 43.2M 15s 164500K .......... .......... .......... .......... .......... 62% 156M 15s 164550K .......... .......... .......... .......... .......... 62% 148M 15s 164600K .......... .......... .......... .......... .......... 62% 42.1M 14s 164650K .......... .......... .......... .......... .......... 62% 38.1M 14s 164700K .......... .......... .......... .......... .......... 62% 51.1M 14s 164750K .......... .......... .......... .......... .......... 62% 62.4M 14s 164800K .......... .......... .......... .......... .......... 62% 56.7M 14s 164850K .......... .......... .......... .......... .......... 62% 413K 15s 164900K .......... .......... .......... .......... .......... 62% 128M 14s 164950K .......... .......... .......... .......... .......... 62% 121M 14s 165000K .......... .......... .......... .......... .......... 62% 156M 14s 165050K .......... .......... .......... .......... .......... 62% 126M 14s 165100K .......... .......... .......... .......... .......... 62% 132M 14s 165150K .......... .......... .......... .......... .......... 62% 123M 14s 165200K .......... .......... .......... .......... .......... 62% 144M 14s 165250K .......... .......... .......... .......... .......... 62% 183M 14s 165300K .......... .......... .......... .......... .......... 62% 146M 14s 165350K .......... .......... .......... .......... .......... 62% 53.4M 14s 165400K .......... .......... .......... .......... .......... 62% 117M 14s 165450K .......... .......... .......... .......... .......... 62% 44.6M 14s 165500K .......... .......... .......... .......... .......... 62% 49.4M 14s 165550K .......... .......... .......... .......... .......... 62% 78.1M 14s 165600K .......... .......... .......... .......... .......... 62% 50.8M 14s 165650K .......... .......... .......... .......... .......... 62% 60.6M 14s 165700K .......... .......... .......... .......... .......... 62% 70.9M 14s 165750K .......... .......... .......... .......... .......... 62% 58.7M 14s 165800K .......... .......... .......... .......... .......... 62% 59.3M 14s 165850K .......... .......... .......... .......... .......... 62% 27.2M 14s 165900K .......... .......... .......... .......... .......... 62% 19.1M 14s 165950K .......... .......... .......... .......... .......... 62% 123M 14s 166000K .......... .......... .......... .......... .......... 62% 89.8M 14s 166050K .......... .......... .......... .......... .......... 62% 34.9M 14s 166100K .......... .......... .......... .......... .......... 63% 33.1M 14s 166150K .......... .......... .......... .......... .......... 63% 41.7M 14s 166200K .......... .......... .......... .......... .......... 63% 35.4M 14s 166250K .......... .......... .......... .......... .......... 63% 65.8M 14s 166300K .......... .......... .......... .......... .......... 63% 46.2M 14s 166350K .......... .......... .......... .......... .......... 63% 34.3M 14s 166400K .......... .......... .......... .......... .......... 63% 27.0M 14s 166450K .......... .......... .......... .......... .......... 63% 47.4M 14s 166500K .......... .......... .......... .......... .......... 63% 34.6M 14s 166550K .......... .......... .......... .......... .......... 63% 29.9M 14s 166600K .......... .......... .......... .......... .......... 63% 48.9M 14s 166650K .......... .......... .......... .......... .......... 63% 55.0M 14s 166700K .......... .......... .......... .......... .......... 63% 49.3M 14s 166750K .......... .......... .......... .......... .......... 63% 40.6M 14s 166800K .......... .......... .......... .......... .......... 63% 106M 14s 166850K .......... .......... .......... .......... .......... 63% 36.0M 14s 166900K .......... .......... .......... .......... .......... 63% 167K 14s 166950K .......... .......... .......... .......... .......... 63% 134M 14s 167000K .......... .......... .......... .......... .......... 63% 130M 14s 167050K .......... .......... .......... .......... .......... 63% 104M 14s 167100K .......... .......... .......... .......... .......... 63% 90.9M 14s 167150K .......... .......... .......... .......... .......... 63% 121M 14s 167200K .......... .......... .......... .......... .......... 63% 126M 14s 167250K .......... .......... .......... .......... .......... 63% 143M 14s 167300K .......... .......... .......... .......... .......... 63% 101M 14s 167350K .......... .......... .......... .......... .......... 63% 123M 14s 167400K .......... .......... .......... .......... .......... 63% 110M 14s 167450K .......... .......... .......... .......... .......... 63% 123M 14s 167500K .......... .......... .......... .......... .......... 63% 65.5M 14s 167550K .......... .......... .......... .......... .......... 63% 104M 14s 167600K .......... .......... .......... .......... .......... 63% 43.5M 14s 167650K .......... .......... .......... .......... .......... 63% 92.0M 14s 167700K .......... .......... .......... .......... .......... 63% 50.7M 14s 167750K .......... .......... .......... .......... .......... 63% 54.3M 14s 167800K .......... .......... .......... .......... .......... 63% 78.0M 14s 167850K .......... .......... .......... .......... .......... 63% 46.2M 14s 167900K .......... .......... .......... .......... .......... 63% 253K 14s 167950K .......... .......... .......... .......... .......... 63% 100M 14s 168000K .......... .......... .......... .......... .......... 63% 151M 14s 168050K .......... .......... .......... .......... .......... 63% 142M 14s 168100K .......... .......... .......... .......... .......... 63% 131M 14s 168150K .......... .......... .......... .......... .......... 63% 131M 14s 168200K .......... .......... .......... .......... .......... 63% 109M 14s 168250K .......... .......... .......... .......... .......... 63% 119M 14s 168300K .......... .......... .......... .......... .......... 63% 142M 14s 168350K .......... .......... .......... .......... .......... 63% 112M 14s 168400K .......... .......... .......... .......... .......... 63% 22.5M 14s 168450K .......... .......... .......... .......... .......... 63% 96.9M 14s 168500K .......... .......... .......... .......... .......... 63% 99.0M 14s 168550K .......... .......... .......... .......... .......... 63% 72.0M 14s 168600K .......... .......... .......... .......... .......... 63% 43.7M 14s 168650K .......... .......... .......... .......... .......... 63% 56.8M 14s 168700K .......... .......... .......... .......... .......... 63% 43.9M 14s 168750K .......... .......... .......... .......... .......... 64% 60.8M 14s 168800K .......... .......... .......... .......... .......... 64% 23.8M 14s 168850K .......... .......... .......... .......... .......... 64% 100M 14s 168900K .......... .......... .......... .......... .......... 64% 80.8M 14s 168950K .......... .......... .......... .......... .......... 64% 5.47M 14s 169000K .......... .......... .......... .......... .......... 64% 41.6M 14s 169050K .......... .......... .......... .......... .......... 64% 45.3M 14s 169100K .......... .......... .......... .......... .......... 64% 47.8M 14s 169150K .......... .......... .......... .......... .......... 64% 55.0M 14s 169200K .......... .......... .......... .......... .......... 64% 29.9M 14s 169250K .......... .......... .......... .......... .......... 64% 47.5M 14s 169300K .......... .......... .......... .......... .......... 64% 48.1M 14s 169350K .......... .......... .......... .......... .......... 64% 38.6M 14s 169400K .......... .......... .......... .......... .......... 64% 46.4M 14s 169450K .......... .......... .......... .......... .......... 64% 39.9M 14s 169500K .......... .......... .......... .......... .......... 64% 30.7M 14s 169550K .......... .......... .......... .......... .......... 64% 46.4M 14s 169600K .......... .......... .......... .......... .......... 64% 39.1M 14s 169650K .......... .......... .......... .......... .......... 64% 44.2M 14s 169700K .......... .......... .......... .......... .......... 64% 41.8M 14s 169750K .......... .......... .......... .......... .......... 64% 53.0M 14s 169800K .......... .......... .......... .......... .......... 64% 48.3M 14s 169850K .......... .......... .......... .......... .......... 64% 42.8M 14s 169900K .......... .......... .......... .......... .......... 64% 44.1M 14s 169950K .......... .......... .......... .......... .......... 64% 262K 14s 170000K .......... .......... .......... .......... .......... 64% 127M 14s 170050K .......... .......... .......... .......... .......... 64% 126M 14s 170100K .......... .......... .......... .......... .......... 64% 104M 14s 170150K .......... .......... .......... .......... .......... 64% 135M 14s 170200K .......... .......... .......... .......... .......... 64% 121M 14s 170250K .......... .......... .......... .......... .......... 64% 135M 14s 170300K .......... .......... .......... .......... .......... 64% 145M 14s 170350K .......... .......... .......... .......... .......... 64% 106M 14s 170400K .......... .......... .......... .......... .......... 64% 137M 14s 170450K .......... .......... .......... .......... .......... 64% 111M 14s 170500K .......... .......... .......... .......... .......... 64% 64.4M 14s 170550K .......... .......... .......... .......... .......... 64% 61.0M 14s 170600K .......... .......... .......... .......... .......... 64% 38.2M 14s 170650K .......... .......... .......... .......... .......... 64% 76.2M 14s 170700K .......... .......... .......... .......... .......... 64% 39.8M 14s 170750K .......... .......... .......... .......... .......... 64% 59.8M 14s 170800K .......... .......... .......... .......... .......... 64% 45.5M 14s 170850K .......... .......... .......... .......... .......... 64% 73.6M 14s 170900K .......... .......... .......... .......... .......... 64% 38.9M 14s 170950K .......... .......... .......... .......... .......... 64% 54.8M 14s 171000K .......... .......... .......... .......... .......... 64% 262K 14s 171050K .......... .......... .......... .......... .......... 64% 244M 14s 171100K .......... .......... .......... .......... .......... 64% 200M 14s 171150K .......... .......... .......... .......... .......... 64% 110M 14s 171200K .......... .......... .......... .......... .......... 64% 166M 14s 171250K .......... .......... .......... .......... .......... 64% 177M 14s 171300K .......... .......... .......... .......... .......... 64% 156M 14s 171350K .......... .......... .......... .......... .......... 64% 153M 14s 171400K .......... .......... .......... .......... .......... 65% 5.64M 14s 171450K .......... .......... .......... .......... .......... 65% 2.31M 14s 171500K .......... .......... .......... .......... .......... 65% 21.0M 14s 171550K .......... .......... .......... .......... .......... 65% 19.7M 14s 171600K .......... .......... .......... .......... .......... 65% 23.1M 14s 171650K .......... .......... .......... .......... .......... 65% 13.2M 14s 171700K .......... .......... .......... .......... .......... 65% 128M 14s 171750K .......... .......... .......... .......... .......... 65% 59.0M 14s 171800K .......... .......... .......... .......... .......... 65% 29.6M 13s 171850K .......... .......... .......... .......... .......... 65% 33.0M 13s 171900K .......... .......... .......... .......... .......... 65% 29.6M 13s 171950K .......... .......... .......... .......... .......... 65% 30.0M 13s 172000K .......... .......... .......... .......... .......... 65% 78.5M 13s 172050K .......... .......... .......... .......... .......... 65% 25.9M 13s 172100K .......... .......... .......... .......... .......... 65% 20.1M 13s 172150K .......... .......... .......... .......... .......... 65% 22.4M 13s 172200K .......... .......... .......... .......... .......... 65% 15.7M 13s 172250K .......... .......... .......... .......... .......... 65% 75.1M 13s 172300K .......... .......... .......... .......... .......... 65% 26.9M 13s 172350K .......... .......... .......... .......... .......... 65% 37.9M 13s 172400K .......... .......... .......... .......... .......... 65% 31.3M 13s 172450K .......... .......... .......... .......... .......... 65% 30.7M 13s 172500K .......... .......... .......... .......... .......... 65% 23.7M 13s 172550K .......... .......... .......... .......... .......... 65% 50.8M 13s 172600K .......... .......... .......... .......... .......... 65% 33.4M 13s 172650K .......... .......... .......... .......... .......... 65% 33.1M 13s 172700K .......... .......... .......... .......... .......... 65% 39.4M 13s 172750K .......... .......... .......... .......... .......... 65% 49.4M 13s 172800K .......... .......... .......... .......... .......... 65% 44.0M 13s 172850K .......... .......... .......... .......... .......... 65% 65.4M 13s 172900K .......... .......... .......... .......... .......... 65% 66.4M 13s 172950K .......... .......... .......... .......... .......... 65% 57.4M 13s 173000K .......... .......... .......... .......... .......... 65% 91.8M 13s 173050K .......... .......... .......... .......... .......... 65% 298K 13s 173100K .......... .......... .......... .......... .......... 65% 149M 13s 173150K .......... .......... .......... .......... .......... 65% 134M 13s 173200K .......... .......... .......... .......... .......... 65% 98.2M 13s 173250K .......... .......... .......... .......... .......... 65% 202M 13s 173300K .......... .......... .......... .......... .......... 65% 131M 13s 173350K .......... .......... .......... .......... .......... 65% 110M 13s 173400K .......... .......... .......... .......... .......... 65% 158M 13s 173450K .......... .......... .......... .......... .......... 65% 126M 13s 173500K .......... .......... .......... .......... .......... 65% 132M 13s 173550K .......... .......... .......... .......... .......... 65% 137M 13s 173600K .......... .......... .......... .......... .......... 65% 99.0M 13s 173650K .......... .......... .......... .......... .......... 65% 132M 13s 173700K .......... .......... .......... .......... .......... 65% 59.3M 13s 173750K .......... .......... .......... .......... .......... 65% 90.4M 13s 173800K .......... .......... .......... .......... .......... 65% 120M 13s 173850K .......... .......... .......... .......... .......... 65% 56.6M 13s 173900K .......... .......... .......... .......... .......... 65% 48.7M 13s 173950K .......... .......... .......... .......... .......... 65% 78.0M 13s 174000K .......... .......... .......... .......... .......... 65% 83.5M 13s 174050K .......... .......... .......... .......... .......... 66% 140K 13s 174100K .......... .......... .......... .......... .......... 66% 209M 13s 174150K .......... .......... .......... .......... .......... 66% 218M 13s 174200K .......... .......... .......... .......... .......... 66% 119M 13s 174250K .......... .......... .......... .......... .......... 66% 52.3M 13s 174300K .......... .......... .......... .......... .......... 66% 205M 13s 174350K .......... .......... .......... .......... .......... 66% 149M 13s 174400K .......... .......... .......... .......... .......... 66% 173M 13s 174450K .......... .......... .......... .......... .......... 66% 185M 13s 174500K .......... .......... .......... .......... .......... 66% 211M 13s 174550K .......... .......... .......... .......... .......... 66% 199M 13s 174600K .......... .......... .......... .......... .......... 66% 142M 13s 174650K .......... .......... .......... .......... .......... 66% 83.0M 13s 174700K .......... .......... .......... .......... .......... 66% 57.6M 13s 174750K .......... .......... .......... .......... .......... 66% 77.5M 13s 174800K .......... .......... .......... .......... .......... 66% 75.4M 13s 174850K .......... .......... .......... .......... .......... 66% 62.8M 13s 174900K .......... .......... .......... .......... .......... 66% 101M 13s 174950K .......... .......... .......... .......... .......... 66% 69.9M 13s 175000K .......... .......... .......... .......... .......... 66% 77.5M 13s 175050K .......... .......... .......... .......... .......... 66% 77.1M 13s 175100K .......... .......... .......... .......... .......... 66% 69.8M 13s 175150K .......... .......... .......... .......... .......... 66% 69.1M 13s 175200K .......... .......... .......... .......... .......... 66% 69.2M 13s 175250K .......... .......... .......... .......... .......... 66% 48.5M 13s 175300K .......... .......... .......... .......... .......... 66% 73.2M 13s 175350K .......... .......... .......... .......... .......... 66% 76.2M 13s 175400K .......... .......... .......... .......... .......... 66% 87.3M 13s 175450K .......... .......... .......... .......... .......... 66% 65.6M 13s 175500K .......... .......... .......... .......... .......... 66% 88.5M 13s 175550K .......... .......... .......... .......... .......... 66% 74.5M 13s 175600K .......... .......... .......... .......... .......... 66% 18.5M 13s 175650K .......... .......... .......... .......... .......... 66% 60.7M 13s 175700K .......... .......... .......... .......... .......... 66% 25.6M 13s 175750K .......... .......... .......... .......... .......... 66% 46.1M 13s 175800K .......... .......... .......... .......... .......... 66% 71.6M 13s 175850K .......... .......... .......... .......... .......... 66% 23.3M 13s 175900K .......... .......... .......... .......... .......... 66% 23.7M 13s 175950K .......... .......... .......... .......... .......... 66% 24.8M 13s 176000K .......... .......... .......... .......... .......... 66% 30.4M 13s 176050K .......... .......... .......... .......... .......... 66% 29.3M 13s 176100K .......... .......... .......... .......... .......... 66% 317K 13s 176150K .......... .......... .......... .......... .......... 66% 191M 13s 176200K .......... .......... .......... .......... .......... 66% 30.8M 13s 176250K .......... .......... .......... .......... .......... 66% 40.0M 13s 176300K .......... .......... .......... .......... .......... 66% 45.9M 13s 176350K .......... .......... .......... .......... .......... 66% 40.4M 13s 176400K .......... .......... .......... .......... .......... 66% 34.3M 13s 176450K .......... .......... .......... .......... .......... 66% 42.0M 13s 176500K .......... .......... .......... .......... .......... 66% 29.4M 13s 176550K .......... .......... .......... .......... .......... 66% 8.72M 13s 176600K .......... .......... .......... .......... .......... 66% 16.5M 13s 176650K .......... .......... .......... .......... .......... 67% 19.6M 13s 176700K .......... .......... .......... .......... .......... 67% 26.6M 13s 176750K .......... .......... .......... .......... .......... 67% 23.6M 13s 176800K .......... .......... .......... .......... .......... 67% 19.8M 13s 176850K .......... .......... .......... .......... .......... 67% 26.9M 13s 176900K .......... .......... .......... .......... .......... 67% 29.3M 13s 176950K .......... .......... .......... .......... .......... 67% 22.6M 13s 177000K .......... .......... .......... .......... .......... 67% 25.6M 13s 177050K .......... .......... .......... .......... .......... 67% 31.9M 13s 177100K .......... .......... .......... .......... .......... 67% 29.3M 13s 177150K .......... .......... .......... .......... .......... 67% 261K 13s 177200K .......... .......... .......... .......... .......... 67% 44.2M 13s 177250K .......... .......... .......... .......... .......... 67% 47.9M 13s 177300K .......... .......... .......... .......... .......... 67% 76.8M 13s 177350K .......... .......... .......... .......... .......... 67% 47.5M 13s 177400K .......... .......... .......... .......... .......... 67% 55.7M 13s 177450K .......... .......... .......... .......... .......... 67% 47.6M 13s 177500K .......... .......... .......... .......... .......... 67% 72.0M 13s 177550K .......... .......... .......... .......... .......... 67% 65.9M 13s 177600K .......... .......... .......... .......... .......... 67% 42.3M 13s 177650K .......... .......... .......... .......... .......... 67% 47.1M 13s 177700K .......... .......... .......... .......... .......... 67% 52.7M 13s 177750K .......... .......... .......... .......... .......... 67% 49.7M 13s 177800K .......... .......... .......... .......... .......... 67% 56.4M 13s 177850K .......... .......... .......... .......... .......... 67% 40.9M 13s 177900K .......... .......... .......... .......... .......... 67% 40.4M 13s 177950K .......... .......... .......... .......... .......... 67% 42.5M 13s 178000K .......... .......... .......... .......... .......... 67% 49.8M 13s 178050K .......... .......... .......... .......... .......... 67% 48.4M 13s 178100K .......... .......... .......... .......... .......... 67% 41.2M 13s 178150K .......... .......... .......... .......... .......... 67% 6.59M 13s 178200K .......... .......... .......... .......... .......... 67% 39.7M 13s 178250K .......... .......... .......... .......... .......... 67% 58.3M 13s 178300K .......... .......... .......... .......... .......... 67% 57.6M 13s 178350K .......... .......... .......... .......... .......... 67% 56.5M 13s 178400K .......... .......... .......... .......... .......... 67% 59.0M 13s 178450K .......... .......... .......... .......... .......... 67% 35.1M 13s 178500K .......... .......... .......... .......... .......... 67% 50.4M 13s 178550K .......... .......... .......... .......... .......... 67% 59.6M 13s 178600K .......... .......... .......... .......... .......... 67% 51.7M 13s 178650K .......... .......... .......... .......... .......... 67% 129M 12s 178700K .......... .......... .......... .......... .......... 67% 35.1M 12s 178750K .......... .......... .......... .......... .......... 67% 97.5M 12s 178800K .......... .......... .......... .......... .......... 67% 68.8M 12s 178850K .......... .......... .......... .......... .......... 67% 75.2M 12s 178900K .......... .......... .......... .......... .......... 67% 70.0M 12s 178950K .......... .......... .......... .......... .......... 67% 46.4M 12s 179000K .......... .......... .......... .......... .......... 67% 88.6M 12s 179050K .......... .......... .......... .......... .......... 67% 46.5M 12s 179100K .......... .......... .......... .......... .......... 67% 94.8M 12s 179150K .......... .......... .......... .......... .......... 67% 45.5M 12s 179200K .......... .......... .......... .......... .......... 67% 289K 12s 179250K .......... .......... .......... .......... .......... 67% 154M 12s 179300K .......... .......... .......... .......... .......... 68% 139M 12s 179350K .......... .......... .......... .......... .......... 68% 164M 12s 179400K .......... .......... .......... .......... .......... 68% 171M 12s 179450K .......... .......... .......... .......... .......... 68% 124M 12s 179500K .......... .......... .......... .......... .......... 68% 175M 12s 179550K .......... .......... .......... .......... .......... 68% 110M 12s 179600K .......... .......... .......... .......... .......... 68% 138M 12s 179650K .......... .......... .......... .......... .......... 68% 117M 12s 179700K .......... .......... .......... .......... .......... 68% 111M 12s 179750K .......... .......... .......... .......... .......... 68% 79.5M 12s 179800K .......... .......... .......... .......... .......... 68% 72.0M 12s 179850K .......... .......... .......... .......... .......... 68% 60.1M 12s 179900K .......... .......... .......... .......... .......... 68% 52.8M 12s 179950K .......... .......... .......... .......... .......... 68% 48.6M 12s 180000K .......... .......... .......... .......... .......... 68% 85.7M 12s 180050K .......... .......... .......... .......... .......... 68% 43.0M 12s 180100K .......... .......... .......... .......... .......... 68% 118M 12s 180150K .......... .......... .......... .......... .......... 68% 42.6M 12s 180200K .......... .......... .......... .......... .......... 68% 1.43M 12s 180250K .......... .......... .......... .......... .......... 68% 119M 12s 180300K .......... .......... .......... .......... .......... 68% 123M 12s 180350K .......... .......... .......... .......... .......... 68% 116M 12s 180400K .......... .......... .......... .......... .......... 68% 134M 12s 180450K .......... .......... .......... .......... .......... 68% 112M 12s 180500K .......... .......... .......... .......... .......... 68% 109M 12s 180550K .......... .......... .......... .......... .......... 68% 125M 12s 180600K .......... .......... .......... .......... .......... 68% 107M 12s 180650K .......... .......... .......... .......... .......... 68% 156M 12s 180700K .......... .......... .......... .......... .......... 68% 11.2M 12s 180750K .......... .......... .......... .......... .......... 68% 108M 12s 180800K .......... .......... .......... .......... .......... 68% 149M 12s 180850K .......... .......... .......... .......... .......... 68% 47.4M 12s 180900K .......... .......... .......... .......... .......... 68% 24.7M 12s 180950K .......... .......... .......... .......... .......... 68% 35.9M 12s 181000K .......... .......... .......... .......... .......... 68% 25.9M 12s 181050K .......... .......... .......... .......... .......... 68% 27.1M 12s 181100K .......... .......... .......... .......... .......... 68% 34.9M 12s 181150K .......... .......... .......... .......... .......... 68% 98.2M 12s 181200K .......... .......... .......... .......... .......... 68% 174K 12s 181250K .......... .......... .......... .......... .......... 68% 139M 12s 181300K .......... .......... .......... .......... .......... 68% 166M 12s 181350K .......... .......... .......... .......... .......... 68% 109M 12s 181400K .......... .......... .......... .......... .......... 68% 121M 12s 181450K .......... .......... .......... .......... .......... 68% 121M 12s 181500K .......... .......... .......... .......... .......... 68% 145M 12s 181550K .......... .......... .......... .......... .......... 68% 154M 12s 181600K .......... .......... .......... .......... .......... 68% 119M 12s 181650K .......... .......... .......... .......... .......... 68% 203M 12s 181700K .......... .......... .......... .......... .......... 68% 167M 12s 181750K .......... .......... .......... .......... .......... 68% 90.6M 12s 181800K .......... .......... .......... .......... .......... 68% 120M 12s 181850K .......... .......... .......... .......... .......... 68% 48.8M 12s 181900K .......... .......... .......... .......... .......... 68% 41.2M 12s 181950K .......... .......... .......... .......... .......... 69% 13.4M 12s 182000K .......... .......... .......... .......... .......... 69% 101M 12s 182050K .......... .......... .......... .......... .......... 69% 48.9M 12s 182100K .......... .......... .......... .......... .......... 69% 33.4M 12s 182150K .......... .......... .......... .......... .......... 69% 40.0M 12s 182200K .......... .......... .......... .......... .......... 69% 37.4M 12s 182250K .......... .......... .......... .......... .......... 69% 401K 12s 182300K .......... .......... .......... .......... .......... 69% 90.8M 12s 182350K .......... .......... .......... .......... .......... 69% 35.0M 12s 182400K .......... .......... .......... .......... .......... 69% 42.2M 12s 182450K .......... .......... .......... .......... .......... 69% 42.0M 12s 182500K .......... .......... .......... .......... .......... 69% 63.3M 12s 182550K .......... .......... .......... .......... .......... 69% 28.6M 12s 182600K .......... .......... .......... .......... .......... 69% 24.5M 12s 182650K .......... .......... .......... .......... .......... 69% 50.4M 12s 182700K .......... .......... .......... .......... .......... 69% 29.5M 12s 182750K .......... .......... .......... .......... .......... 69% 37.0M 12s 182800K .......... .......... .......... .......... .......... 69% 39.7M 12s 182850K .......... .......... .......... .......... .......... 69% 22.3M 12s 182900K .......... .......... .......... .......... .......... 69% 35.8M 12s 182950K .......... .......... .......... .......... .......... 69% 36.4M 12s 183000K .......... .......... .......... .......... .......... 69% 50.7M 12s 183050K .......... .......... .......... .......... .......... 69% 47.8M 12s 183100K .......... .......... .......... .......... .......... 69% 35.2M 12s 183150K .......... .......... .......... .......... .......... 69% 50.4M 12s 183200K .......... .......... .......... .......... .......... 69% 28.5M 12s 183250K .......... .......... .......... .......... .......... 69% 2.05M 12s 183300K .......... .......... .......... .......... .......... 69% 34.1M 12s 183350K .......... .......... .......... .......... .......... 69% 29.1M 12s 183400K .......... .......... .......... .......... .......... 69% 26.3M 12s 183450K .......... .......... .......... .......... .......... 69% 24.0M 12s 183500K .......... .......... .......... .......... .......... 69% 79.7M 12s 183550K .......... .......... .......... .......... .......... 69% 35.8M 12s 183600K .......... .......... .......... .......... .......... 69% 29.6M 12s 183650K .......... .......... .......... .......... .......... 69% 88.3M 12s 183700K .......... .......... .......... .......... .......... 69% 33.7M 12s 183750K .......... .......... .......... .......... .......... 69% 41.7M 12s 183800K .......... .......... .......... .......... .......... 69% 48.6M 12s 183850K .......... .......... .......... .......... .......... 69% 45.3M 12s 183900K .......... .......... .......... .......... .......... 69% 30.4M 12s 183950K .......... .......... .......... .......... .......... 69% 34.7M 12s 184000K .......... .......... .......... .......... .......... 69% 34.1M 12s 184050K .......... .......... .......... .......... .......... 69% 54.1M 12s 184100K .......... .......... .......... .......... .......... 69% 29.1M 12s 184150K .......... .......... .......... .......... .......... 69% 59.7M 12s 184200K .......... .......... .......... .......... .......... 69% 55.2M 12s 184250K .......... .......... .......... .......... .......... 69% 76.5M 12s 184300K .......... .......... .......... .......... .......... 69% 152K 12s 184350K .......... .......... .......... .......... .......... 69% 137M 12s 184400K .......... .......... .......... .......... .......... 69% 139M 12s 184450K .......... .......... .......... .......... .......... 69% 98.2M 12s 184500K .......... .......... .......... .......... .......... 69% 103M 12s 184550K .......... .......... .......... .......... .......... 69% 116M 12s 184600K .......... .......... .......... .......... .......... 70% 88.3M 12s 184650K .......... .......... .......... .......... .......... 70% 167M 12s 184700K .......... .......... .......... .......... .......... 70% 110M 12s 184750K .......... .......... .......... .......... .......... 70% 137M 12s 184800K .......... .......... .......... .......... .......... 70% 85.4M 12s 184850K .......... .......... .......... .......... .......... 70% 45.3M 12s 184900K .......... .......... .......... .......... .......... 70% 76.8M 12s 184950K .......... .......... .......... .......... .......... 70% 58.0M 12s 185000K .......... .......... .......... .......... .......... 70% 138M 12s 185050K .......... .......... .......... .......... .......... 70% 50.3M 12s 185100K .......... .......... .......... .......... .......... 70% 84.5M 12s 185150K .......... .......... .......... .......... .......... 70% 44.2M 12s 185200K .......... .......... .......... .......... .......... 70% 61.0M 12s 185250K .......... .......... .......... .......... .......... 70% 33.7M 12s 185300K .......... .......... .......... .......... .......... 70% 75.7M 12s 185350K .......... .......... .......... .......... .......... 70% 216K 12s 185400K .......... .......... .......... .......... .......... 70% 125M 12s 185450K .......... .......... .......... .......... .......... 70% 106M 12s 185500K .......... .......... .......... .......... .......... 70% 150M 12s 185550K .......... .......... .......... .......... .......... 70% 212M 12s 185600K .......... .......... .......... .......... .......... 70% 119M 12s 185650K .......... .......... .......... .......... .......... 70% 132M 12s 185700K .......... .......... .......... .......... .......... 70% 98.2M 12s 185750K .......... .......... .......... .......... .......... 70% 96.9M 12s 185800K .......... .......... .......... .......... .......... 70% 161M 12s 185850K .......... .......... .......... .......... .......... 70% 19.3M 12s 185900K .......... .......... .......... .......... .......... 70% 167M 12s 185950K .......... .......... .......... .......... .......... 70% 138M 12s 186000K .......... .......... .......... .......... .......... 70% 67.4M 12s 186050K .......... .......... .......... .......... .......... 70% 37.8M 12s 186100K .......... .......... .......... .......... .......... 70% 37.3M 11s 186150K .......... .......... .......... .......... .......... 70% 34.9M 11s 186200K .......... .......... .......... .......... .......... 70% 44.9M 11s 186250K .......... .......... .......... .......... .......... 70% 38.9M 11s 186300K .......... .......... .......... .......... .......... 70% 65.4M 11s 186350K .......... .......... .......... .......... .......... 70% 5.58M 11s 186400K .......... .......... .......... .......... .......... 70% 43.5M 11s 186450K .......... .......... .......... .......... .......... 70% 43.6M 11s 186500K .......... .......... .......... .......... .......... 70% 55.1M 11s 186550K .......... .......... .......... .......... .......... 70% 45.3M 11s 186600K .......... .......... .......... .......... .......... 70% 51.3M 11s 186650K .......... .......... .......... .......... .......... 70% 45.0M 11s 186700K .......... .......... .......... .......... .......... 70% 24.7M 11s 186750K .......... .......... .......... .......... .......... 70% 176M 11s 186800K .......... .......... .......... .......... .......... 70% 33.4M 11s 186850K .......... .......... .......... .......... .......... 70% 38.2M 11s 186900K .......... .......... .......... .......... .......... 70% 34.5M 11s 186950K .......... .......... .......... .......... .......... 70% 33.9M 11s 187000K .......... .......... .......... .......... .......... 70% 36.0M 11s 187050K .......... .......... .......... .......... .......... 70% 38.0M 11s 187100K .......... .......... .......... .......... .......... 70% 27.6M 11s 187150K .......... .......... .......... .......... .......... 70% 27.2M 11s 187200K .......... .......... .......... .......... .......... 71% 28.4M 11s 187250K .......... .......... .......... .......... .......... 71% 36.0M 11s 187300K .......... .......... .......... .......... .......... 71% 29.9M 11s 187350K .......... .......... .......... .......... .......... 71% 632K 11s 187400K .......... .......... .......... .......... .......... 71% 27.4M 11s 187450K .......... .......... .......... .......... .......... 71% 31.9M 11s 187500K .......... .......... .......... .......... .......... 71% 37.2M 11s 187550K .......... .......... .......... .......... .......... 71% 28.4M 11s 187600K .......... .......... .......... .......... .......... 71% 36.5M 11s 187650K .......... .......... .......... .......... .......... 71% 24.3M 11s 187700K .......... .......... .......... .......... .......... 71% 44.9M 11s 187750K .......... .......... .......... .......... .......... 71% 36.7M 11s 187800K .......... .......... .......... .......... .......... 71% 27.9M 11s 187850K .......... .......... .......... .......... .......... 71% 34.0M 11s 187900K .......... .......... .......... .......... .......... 71% 21.9M 11s 187950K .......... .......... .......... .......... .......... 71% 35.1M 11s 188000K .......... .......... .......... .......... .......... 71% 26.1M 11s 188050K .......... .......... .......... .......... .......... 71% 38.0M 11s 188100K .......... .......... .......... .......... .......... 71% 36.0M 11s 188150K .......... .......... .......... .......... .......... 71% 32.9M 11s 188200K .......... .......... .......... .......... .......... 71% 33.2M 11s 188250K .......... .......... .......... .......... .......... 71% 46.0M 11s 188300K .......... .......... .......... .......... .......... 71% 40.4M 11s 188350K .......... .......... .......... .......... .......... 71% 21.7M 11s 188400K .......... .......... .......... .......... .......... 71% 218K 11s 188450K .......... .......... .......... .......... .......... 71% 149M 11s 188500K .......... .......... .......... .......... .......... 71% 158M 11s 188550K .......... .......... .......... .......... .......... 71% 130M 11s 188600K .......... .......... .......... .......... .......... 71% 89.3M 11s 188650K .......... .......... .......... .......... .......... 71% 142M 11s 188700K .......... .......... .......... .......... .......... 71% 124M 11s 188750K .......... .......... .......... .......... .......... 71% 116M 11s 188800K .......... .......... .......... .......... .......... 71% 121M 11s 188850K .......... .......... .......... .......... .......... 71% 20.0M 11s 188900K .......... .......... .......... .......... .......... 71% 148M 11s 188950K .......... .......... .......... .......... .......... 71% 60.1M 11s 189000K .......... .......... .......... .......... .......... 71% 44.1M 11s 189050K .......... .......... .......... .......... .......... 71% 41.8M 11s 189100K .......... .......... .......... .......... .......... 71% 19.8M 11s 189150K .......... .......... .......... .......... .......... 71% 149M 11s 189200K .......... .......... .......... .......... .......... 71% 26.7M 11s 189250K .......... .......... .......... .......... .......... 71% 27.3M 11s 189300K .......... .......... .......... .......... .......... 71% 33.7M 11s 189350K .......... .......... .......... .......... .......... 71% 26.0M 11s 189400K .......... .......... .......... .......... .......... 71% 39.1M 11s 189450K .......... .......... .......... .......... .......... 71% 28.0M 11s 189500K .......... .......... .......... .......... .......... 71% 29.4M 11s 189550K .......... .......... .......... .......... .......... 71% 32.0M 11s 189600K .......... .......... .......... .......... .......... 71% 21.0M 11s 189650K .......... .......... .......... .......... .......... 71% 49.8M 11s 189700K .......... .......... .......... .......... .......... 71% 26.4M 11s 189750K .......... .......... .......... .......... .......... 71% 37.3M 11s 189800K .......... .......... .......... .......... .......... 71% 42.5M 11s 189850K .......... .......... .......... .......... .......... 72% 27.8M 11s 189900K .......... .......... .......... .......... .......... 72% 25.3M 11s 189950K .......... .......... .......... .......... .......... 72% 37.0M 11s 190000K .......... .......... .......... .......... .......... 72% 39.4M 11s 190050K .......... .......... .......... .......... .......... 72% 32.6M 11s 190100K .......... .......... .......... .......... .......... 72% 30.5M 11s 190150K .......... .......... .......... .......... .......... 72% 40.9M 11s 190200K .......... .......... .......... .......... .......... 72% 32.1M 11s 190250K .......... .......... .......... .......... .......... 72% 31.0M 11s 190300K .......... .......... .......... .......... .......... 72% 47.7M 11s 190350K .......... .......... .......... .......... .......... 72% 38.6M 11s 190400K .......... .......... .......... .......... .......... 72% 114M 11s 190450K .......... .......... .......... .......... .......... 72% 274K 11s 190500K .......... .......... .......... .......... .......... 72% 106M 11s 190550K .......... .......... .......... .......... .......... 72% 125M 11s 190600K .......... .......... .......... .......... .......... 72% 121M 11s 190650K .......... .......... .......... .......... .......... 72% 132M 11s 190700K .......... .......... .......... .......... .......... 72% 94.1M 11s 190750K .......... .......... .......... .......... .......... 72% 149M 11s 190800K .......... .......... .......... .......... .......... 72% 113M 11s 190850K .......... .......... .......... .......... .......... 72% 154M 11s 190900K .......... .......... .......... .......... .......... 72% 182M 11s 190950K .......... .......... .......... .......... .......... 72% 136M 11s 191000K .......... .......... .......... .......... .......... 72% 143M 11s 191050K .......... .......... .......... .......... .......... 72% 156M 11s 191100K .......... .......... .......... .......... .......... 72% 57.9M 11s 191150K .......... .......... .......... .......... .......... 72% 110M 11s 191200K .......... .......... .......... .......... .......... 72% 38.0M 11s 191250K .......... .......... .......... .......... .......... 72% 114M 11s 191300K .......... .......... .......... .......... .......... 72% 49.3M 11s 191350K .......... .......... .......... .......... .......... 72% 25.2M 11s 191400K .......... .......... .......... .......... .......... 72% 143M 11s 191450K .......... .......... .......... .......... .......... 72% 164K 11s 191500K .......... .......... .......... .......... .......... 72% 128M 11s 191550K .......... .......... .......... .......... .......... 72% 156M 11s 191600K .......... .......... .......... .......... .......... 72% 103M 11s 191650K .......... .......... .......... .......... .......... 72% 112M 11s 191700K .......... .......... .......... .......... .......... 72% 119M 11s 191750K .......... .......... .......... .......... .......... 72% 157M 11s 191800K .......... .......... .......... .......... .......... 72% 131M 11s 191850K .......... .......... .......... .......... .......... 72% 136M 11s 191900K .......... .......... .......... .......... .......... 72% 31.0M 11s 191950K .......... .......... .......... .......... .......... 72% 112M 11s 192000K .......... .......... .......... .......... .......... 72% 41.6M 11s 192050K .......... .......... .......... .......... .......... 72% 45.8M 11s 192100K .......... .......... .......... .......... .......... 72% 24.7M 11s 192150K .......... .......... .......... .......... .......... 72% 41.2M 11s 192200K .......... .......... .......... .......... .......... 72% 38.0M 11s 192250K .......... .......... .......... .......... .......... 72% 26.9M 11s 192300K .......... .......... .......... .......... .......... 72% 41.1M 11s 192350K .......... .......... .......... .......... .......... 72% 44.1M 11s 192400K .......... .......... .......... .......... .......... 72% 32.6M 11s 192450K .......... .......... .......... .......... .......... 72% 29.1M 11s 192500K .......... .......... .......... .......... .......... 73% 1.81M 11s 192550K .......... .......... .......... .......... .......... 73% 50.9M 11s 192600K .......... .......... .......... .......... .......... 73% 45.3M 11s 192650K .......... .......... .......... .......... .......... 73% 48.0M 11s 192700K .......... .......... .......... .......... .......... 73% 28.7M 11s 192750K .......... .......... .......... .......... .......... 73% 60.8M 11s 192800K .......... .......... .......... .......... .......... 73% 21.8M 11s 192850K .......... .......... .......... .......... .......... 73% 51.2M 10s 192900K .......... .......... .......... .......... .......... 73% 27.5M 10s 192950K .......... .......... .......... .......... .......... 73% 18.0M 10s 193000K .......... .......... .......... .......... .......... 73% 33.3M 10s 193050K .......... .......... .......... .......... .......... 73% 26.0M 10s 193100K .......... .......... .......... .......... .......... 73% 27.2M 10s 193150K .......... .......... .......... .......... .......... 73% 20.5M 10s 193200K .......... .......... .......... .......... .......... 73% 22.1M 10s 193250K .......... .......... .......... .......... .......... 73% 16.0M 10s 193300K .......... .......... .......... .......... .......... 73% 26.5M 10s 193350K .......... .......... .......... .......... .......... 73% 20.6M 10s 193400K .......... .......... .......... .......... .......... 73% 29.3M 10s 193450K .......... .......... .......... .......... .......... 73% 16.7M 10s 193500K .......... .......... .......... .......... .......... 73% 208K 10s 193550K .......... .......... .......... .......... .......... 73% 157M 10s 193600K .......... .......... .......... .......... .......... 73% 127M 10s 193650K .......... .......... .......... .......... .......... 73% 42.1M 10s 193700K .......... .......... .......... .......... .......... 73% 38.4M 10s 193750K .......... .......... .......... .......... .......... 73% 43.9M 10s 193800K .......... .......... .......... .......... .......... 73% 25.0M 10s 193850K .......... .......... .......... .......... .......... 73% 40.5M 10s 193900K .......... .......... .......... .......... .......... 73% 23.1M 10s 193950K .......... .......... .......... .......... .......... 73% 37.2M 10s 194000K .......... .......... .......... .......... .......... 73% 37.3M 10s 194050K .......... .......... .......... .......... .......... 73% 34.3M 10s 194100K .......... .......... .......... .......... .......... 73% 33.9M 10s 194150K .......... .......... .......... .......... .......... 73% 46.1M 10s 194200K .......... .......... .......... .......... .......... 73% 36.5M 10s 194250K .......... .......... .......... .......... .......... 73% 42.3M 10s 194300K .......... .......... .......... .......... .......... 73% 41.2M 10s 194350K .......... .......... .......... .......... .......... 73% 33.0M 10s 194400K .......... .......... .......... .......... .......... 73% 43.8M 10s 194450K .......... .......... .......... .......... .......... 73% 37.7M 10s 194500K .......... .......... .......... .......... .......... 73% 31.5M 10s 194550K .......... .......... .......... .......... .......... 73% 278K 10s 194600K .......... .......... .......... .......... .......... 73% 124M 10s 194650K .......... .......... .......... .......... .......... 73% 121M 10s 194700K .......... .......... .......... .......... .......... 73% 131M 10s 194750K .......... .......... .......... .......... .......... 73% 102M 10s 194800K .......... .......... .......... .......... .......... 73% 113M 10s 194850K .......... .......... .......... .......... .......... 73% 122M 10s 194900K .......... .......... .......... .......... .......... 73% 142M 10s 194950K .......... .......... .......... .......... .......... 73% 111M 10s 195000K .......... .......... .......... .......... .......... 73% 142M 10s 195050K .......... .......... .......... .......... .......... 73% 45.5M 10s 195100K .......... .......... .......... .......... .......... 73% 33.0M 10s 195150K .......... .......... .......... .......... .......... 74% 22.7M 10s 195200K .......... .......... .......... .......... .......... 74% 72.8M 10s 195250K .......... .......... .......... .......... .......... 74% 35.8M 10s 195300K .......... .......... .......... .......... .......... 74% 37.4M 10s 195350K .......... .......... .......... .......... .......... 74% 22.0M 10s 195400K .......... .......... .......... .......... .......... 74% 40.3M 10s 195450K .......... .......... .......... .......... .......... 74% 27.8M 10s 195500K .......... .......... .......... .......... .......... 74% 40.3M 10s 195550K .......... .......... .......... .......... .......... 74% 5.15M 10s 195600K .......... .......... .......... .......... .......... 74% 11.5M 10s 195650K .......... .......... .......... .......... .......... 74% 27.1M 10s 195700K .......... .......... .......... .......... .......... 74% 20.2M 10s 195750K .......... .......... .......... .......... .......... 74% 46.0M 10s 195800K .......... .......... .......... .......... .......... 74% 29.7M 10s 195850K .......... .......... .......... .......... .......... 74% 26.3M 10s 195900K .......... .......... .......... .......... .......... 74% 32.5M 10s 195950K .......... .......... .......... .......... .......... 74% 24.4M 10s 196000K .......... .......... .......... .......... .......... 74% 25.1M 10s 196050K .......... .......... .......... .......... .......... 74% 37.2M 10s 196100K .......... .......... .......... .......... .......... 74% 30.2M 10s 196150K .......... .......... .......... .......... .......... 74% 27.5M 10s 196200K .......... .......... .......... .......... .......... 74% 26.1M 10s 196250K .......... .......... .......... .......... .......... 74% 34.9M 10s 196300K .......... .......... .......... .......... .......... 74% 37.2M 10s 196350K .......... .......... .......... .......... .......... 74% 32.6M 10s 196400K .......... .......... .......... .......... .......... 74% 35.4M 10s 196450K .......... .......... .......... .......... .......... 74% 30.0M 10s 196500K .......... .......... .......... .......... .......... 74% 47.6M 10s 196550K .......... .......... .......... .......... .......... 74% 27.9M 10s 196600K .......... .......... .......... .......... .......... 74% 554K 10s 196650K .......... .......... .......... .......... .......... 74% 39.4M 10s 196700K .......... .......... .......... .......... .......... 74% 26.1M 10s 196750K .......... .......... .......... .......... .......... 74% 198M 10s 196800K .......... .......... .......... .......... .......... 74% 243M 10s 196850K .......... .......... .......... .......... .......... 74% 89.6M 10s 196900K .......... .......... .......... .......... .......... 74% 64.8M 10s 196950K .......... .......... .......... .......... .......... 74% 68.9M 10s 197000K .......... .......... .......... .......... .......... 74% 69.7M 10s 197050K .......... .......... .......... .......... .......... 74% 35.8M 10s 197100K .......... .......... .......... .......... .......... 74% 203M 10s 197150K .......... .......... .......... .......... .......... 74% 86.9M 10s 197200K .......... .......... .......... .......... .......... 74% 21.5M 10s 197250K .......... .......... .......... .......... .......... 74% 197M 10s 197300K .......... .......... .......... .......... .......... 74% 90.9M 10s 197350K .......... .......... .......... .......... .......... 74% 30.5M 10s 197400K .......... .......... .......... .......... .......... 74% 51.7M 10s 197450K .......... .......... .......... .......... .......... 74% 51.5M 10s 197500K .......... .......... .......... .......... .......... 74% 42.1M 10s 197550K .......... .......... .......... .......... .......... 74% 43.0M 10s 197600K .......... .......... .......... .......... .......... 74% 209K 10s 197650K .......... .......... .......... .......... .......... 74% 203M 10s 197700K .......... .......... .......... .......... .......... 74% 140M 10s 197750K .......... .......... .......... .......... .......... 75% 235M 10s 197800K .......... .......... .......... .......... .......... 75% 204M 10s 197850K .......... .......... .......... .......... .......... 75% 140M 10s 197900K .......... .......... .......... .......... .......... 75% 265M 10s 197950K .......... .......... .......... .......... .......... 75% 185M 10s 198000K .......... .......... .......... .......... .......... 75% 186M 10s 198050K .......... .......... .......... .......... .......... 75% 62.8M 10s 198100K .......... .......... .......... .......... .......... 75% 51.6M 10s 198150K .......... .......... .......... .......... .......... 75% 51.6M 10s 198200K .......... .......... .......... .......... .......... 75% 39.0M 10s 198250K .......... .......... .......... .......... .......... 75% 48.8M 10s 198300K .......... .......... .......... .......... .......... 75% 52.2M 10s 198350K .......... .......... .......... .......... .......... 75% 61.4M 10s 198400K .......... .......... .......... .......... .......... 75% 83.6M 10s 198450K .......... .......... .......... .......... .......... 75% 31.7M 10s 198500K .......... .......... .......... .......... .......... 75% 114M 10s 198550K .......... .......... .......... .......... .......... 75% 42.2M 10s 198600K .......... .......... .......... .......... .......... 75% 58.7M 10s 198650K .......... .......... .......... .......... .......... 75% 44.4M 10s 198700K .......... .......... .......... .......... .......... 75% 52.3M 10s 198750K .......... .......... .......... .......... .......... 75% 55.2M 10s 198800K .......... .......... .......... .......... .......... 75% 47.9M 10s 198850K .......... .......... .......... .......... .......... 75% 33.4M 10s 198900K .......... .......... .......... .......... .......... 75% 55.3M 10s 198950K .......... .......... .......... .......... .......... 75% 53.9M 10s 199000K .......... .......... .......... .......... .......... 75% 52.4M 10s 199050K .......... .......... .......... .......... .......... 75% 64.3M 10s 199100K .......... .......... .......... .......... .......... 75% 53.6M 10s 199150K .......... .......... .......... .......... .......... 75% 58.3M 10s 199200K .......... .......... .......... .......... .......... 75% 23.9M 10s 199250K .......... .......... .......... .......... .......... 75% 239M 10s 199300K .......... .......... .......... .......... .......... 75% 54.5M 10s 199350K .......... .......... .......... .......... .......... 75% 38.0M 10s 199400K .......... .......... .......... .......... .......... 75% 34.1M 10s 199450K .......... .......... .......... .......... .......... 75% 44.9M 9s 199500K .......... .......... .......... .......... .......... 75% 49.7M 9s 199550K .......... .......... .......... .......... .......... 75% 47.2M 9s 199600K .......... .......... .......... .......... .......... 75% 34.2M 9s 199650K .......... .......... .......... .......... .......... 75% 290K 10s 199700K .......... .......... .......... .......... .......... 75% 206M 9s 199750K .......... .......... .......... .......... .......... 75% 20.7M 9s 199800K .......... .......... .......... .......... .......... 75% 69.9M 9s 199850K .......... .......... .......... .......... .......... 75% 36.6M 9s 199900K .......... .......... .......... .......... .......... 75% 35.7M 9s 199950K .......... .......... .......... .......... .......... 75% 29.2M 9s 200000K .......... .......... .......... .......... .......... 75% 46.2M 9s 200050K .......... .......... .......... .......... .......... 75% 26.2M 9s 200100K .......... .......... .......... .......... .......... 75% 23.7M 9s 200150K .......... .......... .......... .......... .......... 75% 26.5M 9s 200200K .......... .......... .......... .......... .......... 75% 28.3M 9s 200250K .......... .......... .......... .......... .......... 75% 27.3M 9s 200300K .......... .......... .......... .......... .......... 75% 27.2M 9s 200350K .......... .......... .......... .......... .......... 75% 25.3M 9s 200400K .......... .......... .......... .......... .......... 76% 15.6M 9s 200450K .......... .......... .......... .......... .......... 76% 24.4M 9s 200500K .......... .......... .......... .......... .......... 76% 32.9M 9s 200550K .......... .......... .......... .......... .......... 76% 25.8M 9s 200600K .......... .......... .......... .......... .......... 76% 23.4M 9s 200650K .......... .......... .......... .......... .......... 76% 26.9M 9s 200700K .......... .......... .......... .......... .......... 76% 237K 9s 200750K .......... .......... .......... .......... .......... 76% 147M 9s 200800K .......... .......... .......... .......... .......... 76% 151M 9s 200850K .......... .......... .......... .......... .......... 76% 98.0M 9s 200900K .......... .......... .......... .......... .......... 76% 192M 9s 200950K .......... .......... .......... .......... .......... 76% 121M 9s 201000K .......... .......... .......... .......... .......... 76% 115M 9s 201050K .......... .......... .......... .......... .......... 76% 177M 9s 201100K .......... .......... .......... .......... .......... 76% 118M 9s 201150K .......... .......... .......... .......... .......... 76% 125M 9s 201200K .......... .......... .......... .......... .......... 76% 43.8M 9s 201250K .......... .......... .......... .......... .......... 76% 45.5M 9s 201300K .......... .......... .......... .......... .......... 76% 50.4M 9s 201350K .......... .......... .......... .......... .......... 76% 44.4M 9s 201400K .......... .......... .......... .......... .......... 76% 50.3M 9s 201450K .......... .......... .......... .......... .......... 76% 48.9M 9s 201500K .......... .......... .......... .......... .......... 76% 54.1M 9s 201550K .......... .......... .......... .......... .......... 76% 49.4M 9s 201600K .......... .......... .......... .......... .......... 76% 43.9M 9s 201650K .......... .......... .......... .......... .......... 76% 55.0M 9s 201700K .......... .......... .......... .......... .......... 76% 43.7M 9s 201750K .......... .......... .......... .......... .......... 76% 42.0M 9s 201800K .......... .......... .......... .......... .......... 76% 49.4M 9s 201850K .......... .......... .......... .......... .......... 76% 45.0M 9s 201900K .......... .......... .......... .......... .......... 76% 35.7M 9s 201950K .......... .......... .......... .......... .......... 76% 45.3M 9s 202000K .......... .......... .......... .......... .......... 76% 35.4M 9s 202050K .......... .......... .......... .......... .......... 76% 42.8M 9s 202100K .......... .......... .......... .......... .......... 76% 33.9M 9s 202150K .......... .......... .......... .......... .......... 76% 69.9M 9s 202200K .......... .......... .......... .......... .......... 76% 54.8M 9s 202250K .......... .......... .......... .......... .......... 76% 64.9M 9s 202300K .......... .......... .......... .......... .......... 76% 53.8M 9s 202350K .......... .......... .......... .......... .......... 76% 56.4M 9s 202400K .......... .......... .......... .......... .......... 76% 81.1M 9s 202450K .......... .......... .......... .......... .......... 76% 59.5M 9s 202500K .......... .......... .......... .......... .......... 76% 74.5M 9s 202550K .......... .......... .......... .......... .......... 76% 65.5M 9s 202600K .......... .......... .......... .......... .......... 76% 61.4M 9s 202650K .......... .......... .......... .......... .......... 76% 62.5M 9s 202700K .......... .......... .......... .......... .......... 76% 45.0M 9s 202750K .......... .......... .......... .......... .......... 76% 301K 9s 202800K .......... .......... .......... .......... .......... 76% 118M 9s 202850K .......... .......... .......... .......... .......... 76% 131M 9s 202900K .......... .......... .......... .......... .......... 76% 107M 9s 202950K .......... .......... .......... .......... .......... 76% 93.2M 9s 203000K .......... .......... .......... .......... .......... 76% 105M 9s 203050K .......... .......... .......... .......... .......... 77% 95.0M 9s 203100K .......... .......... .......... .......... .......... 77% 115M 9s 203150K .......... .......... .......... .......... .......... 77% 130M 9s 203200K .......... .......... .......... .......... .......... 77% 103M 9s 203250K .......... .......... .......... .......... .......... 77% 112M 9s 203300K .......... .......... .......... .......... .......... 77% 162M 9s 203350K .......... .......... .......... .......... .......... 77% 60.4M 9s 203400K .......... .......... .......... .......... .......... 77% 79.0M 9s 203450K .......... .......... .......... .......... .......... 77% 50.7M 9s 203500K .......... .......... .......... .......... .......... 77% 69.1M 9s 203550K .......... .......... .......... .......... .......... 77% 49.0M 9s 203600K .......... .......... .......... .......... .......... 77% 40.4M 9s 203650K .......... .......... .......... .......... .......... 77% 24.5M 9s 203700K .......... .......... .......... .......... .......... 77% 94.6M 9s 203750K .......... .......... .......... .......... .......... 77% 295K 9s 203800K .......... .......... .......... .......... .......... 77% 91.1M 9s 203850K .......... .......... .......... .......... .......... 77% 135M 9s 203900K .......... .......... .......... .......... .......... 77% 43.6M 9s 203950K .......... .......... .......... .......... .......... 77% 38.6M 9s 204000K .......... .......... .......... .......... .......... 77% 66.6M 9s 204050K .......... .......... .......... .......... .......... 77% 67.5M 9s 204100K .......... .......... .......... .......... .......... 77% 46.0M 9s 204150K .......... .......... .......... .......... .......... 77% 47.7M 9s 204200K .......... .......... .......... .......... .......... 77% 53.0M 9s 204250K .......... .......... .......... .......... .......... 77% 75.8M 9s 204300K .......... .......... .......... .......... .......... 77% 51.1M 9s 204350K .......... .......... .......... .......... .......... 77% 36.9M 9s 204400K .......... .......... .......... .......... .......... 77% 50.0M 9s 204450K .......... .......... .......... .......... .......... 77% 41.5M 9s 204500K .......... .......... .......... .......... .......... 77% 44.6M 9s 204550K .......... .......... .......... .......... .......... 77% 86.4M 9s 204600K .......... .......... .......... .......... .......... 77% 46.7M 9s 204650K .......... .......... .......... .......... .......... 77% 48.2M 9s 204700K .......... .......... .......... .......... .......... 77% 42.8M 9s 204750K .......... .......... .......... .......... .......... 77% 70.9M 9s 204800K .......... .......... .......... .......... .......... 77% 15.5M 9s 204850K .......... .......... .......... .......... .......... 77% 40.6M 9s 204900K .......... .......... .......... .......... .......... 77% 44.4M 9s 204950K .......... .......... .......... .......... .......... 77% 38.6M 9s 205000K .......... .......... .......... .......... .......... 77% 46.4M 9s 205050K .......... .......... .......... .......... .......... 77% 63.1M 9s 205100K .......... .......... .......... .......... .......... 77% 50.3M 9s 205150K .......... .......... .......... .......... .......... 77% 55.7M 9s 205200K .......... .......... .......... .......... .......... 77% 42.7M 9s 205250K .......... .......... .......... .......... .......... 77% 43.3M 9s 205300K .......... .......... .......... .......... .......... 77% 41.6M 9s 205350K .......... .......... .......... .......... .......... 77% 110M 9s 205400K .......... .......... .......... .......... .......... 77% 42.7M 9s 205450K .......... .......... .......... .......... .......... 77% 60.2M 9s 205500K .......... .......... .......... .......... .......... 77% 109M 9s 205550K .......... .......... .......... .......... .......... 77% 87.8M 9s 205600K .......... .......... .......... .......... .......... 77% 88.8M 9s 205650K .......... .......... .......... .......... .......... 77% 75.0M 9s 205700K .......... .......... .......... .......... .......... 78% 73.5M 9s 205750K .......... .......... .......... .......... .......... 78% 26.4M 9s 205800K .......... .......... .......... .......... .......... 78% 446K 9s 205850K .......... .......... .......... .......... .......... 78% 133M 9s 205900K .......... .......... .......... .......... .......... 78% 132M 9s 205950K .......... .......... .......... .......... .......... 78% 125M 9s 206000K .......... .......... .......... .......... .......... 78% 104M 9s 206050K .......... .......... .......... .......... .......... 78% 113M 9s 206100K .......... .......... .......... .......... .......... 78% 100M 9s 206150K .......... .......... .......... .......... .......... 78% 144M 8s 206200K .......... .......... .......... .......... .......... 78% 119M 8s 206250K .......... .......... .......... .......... .......... 78% 21.7M 8s 206300K .......... .......... .......... .......... .......... 78% 121M 8s 206350K .......... .......... .......... .......... .......... 78% 102M 8s 206400K .......... .......... .......... .......... .......... 78% 44.3M 8s 206450K .......... .......... .......... .......... .......... 78% 76.2M 8s 206500K .......... .......... .......... .......... .......... 78% 55.1M 8s 206550K .......... .......... .......... .......... .......... 78% 50.5M 8s 206600K .......... .......... .......... .......... .......... 78% 34.7M 8s 206650K .......... .......... .......... .......... .......... 78% 33.7M 8s 206700K .......... .......... .......... .......... .......... 78% 45.7M 8s 206750K .......... .......... .......... .......... .......... 78% 46.1M 8s 206800K .......... .......... .......... .......... .......... 78% 36.4M 8s 206850K .......... .......... .......... .......... .......... 78% 530K 8s 206900K .......... .......... .......... .......... .......... 78% 64.8M 8s 206950K .......... .......... .......... .......... .......... 78% 60.5M 8s 207000K .......... .......... .......... .......... .......... 78% 49.5M 8s 207050K .......... .......... .......... .......... .......... 78% 90.9M 8s 207100K .......... .......... .......... .......... .......... 78% 46.8M 8s 207150K .......... .......... .......... .......... .......... 78% 41.6M 8s 207200K .......... .......... .......... .......... .......... 78% 44.6M 8s 207250K .......... .......... .......... .......... .......... 78% 52.2M 8s 207300K .......... .......... .......... .......... .......... 78% 46.8M 8s 207350K .......... .......... .......... .......... .......... 78% 50.4M 8s 207400K .......... .......... .......... .......... .......... 78% 23.2M 8s 207450K .......... .......... .......... .......... .......... 78% 103M 8s 207500K .......... .......... .......... .......... .......... 78% 136M 8s 207550K .......... .......... .......... .......... .......... 78% 53.5M 8s 207600K .......... .......... .......... .......... .......... 78% 35.2M 8s 207650K .......... .......... .......... .......... .......... 78% 42.5M 8s 207700K .......... .......... .......... .......... .......... 78% 34.9M 8s 207750K .......... .......... .......... .......... .......... 78% 37.0M 8s 207800K .......... .......... .......... .......... .......... 78% 32.4M 8s 207850K .......... .......... .......... .......... .......... 78% 20.7M 8s 207900K .......... .......... .......... .......... .......... 78% 38.9M 8s 207950K .......... .......... .......... .......... .......... 78% 35.2M 8s 208000K .......... .......... .......... .......... .......... 78% 44.8M 8s 208050K .......... .......... .......... .......... .......... 78% 46.3M 8s 208100K .......... .......... .......... .......... .......... 78% 42.5M 8s 208150K .......... .......... .......... .......... .......... 78% 41.0M 8s 208200K .......... .......... .......... .......... .......... 78% 32.8M 8s 208250K .......... .......... .......... .......... .......... 78% 43.7M 8s 208300K .......... .......... .......... .......... .......... 79% 45.5M 8s 208350K .......... .......... .......... .......... .......... 79% 40.2M 8s 208400K .......... .......... .......... .......... .......... 79% 39.5M 8s 208450K .......... .......... .......... .......... .......... 79% 42.9M 8s 208500K .......... .......... .......... .......... .......... 79% 46.6M 8s 208550K .......... .......... .......... .......... .......... 79% 49.2M 8s 208600K .......... .......... .......... .......... .......... 79% 42.9M 8s 208650K .......... .......... .......... .......... .......... 79% 38.7M 8s 208700K .......... .......... .......... .......... .......... 79% 35.2M 8s 208750K .......... .......... .......... .......... .......... 79% 50.3M 8s 208800K .......... .......... .......... .......... .......... 79% 43.7M 8s 208850K .......... .......... .......... .......... .......... 79% 295K 8s 208900K .......... .......... .......... .......... .......... 79% 138M 8s 208950K .......... .......... .......... .......... .......... 79% 158M 8s 209000K .......... .......... .......... .......... .......... 79% 140M 8s 209050K .......... .......... .......... .......... .......... 79% 124M 8s 209100K .......... .......... .......... .......... .......... 79% 92.8M 8s 209150K .......... .......... .......... .......... .......... 79% 188M 8s 209200K .......... .......... .......... .......... .......... 79% 159M 8s 209250K .......... .......... .......... .......... .......... 79% 132M 8s 209300K .......... .......... .......... .......... .......... 79% 117M 8s 209350K .......... .......... .......... .......... .......... 79% 101M 8s 209400K .......... .......... .......... .......... .......... 79% 121M 8s 209450K .......... .......... .......... .......... .......... 79% 141M 8s 209500K .......... .......... .......... .......... .......... 79% 126M 8s 209550K .......... .......... .......... .......... .......... 79% 63.7M 8s 209600K .......... .......... .......... .......... .......... 79% 106M 8s 209650K .......... .......... .......... .......... .......... 79% 52.9M 8s 209700K .......... .......... .......... .......... .......... 79% 76.7M 8s 209750K .......... .......... .......... .......... .......... 79% 75.6M 8s 209800K .......... .......... .......... .......... .......... 79% 42.8M 8s 209850K .......... .......... .......... .......... .......... 79% 70.9M 8s 209900K .......... .......... .......... .......... .......... 79% 171K 8s 209950K .......... .......... .......... .......... .......... 79% 118M 8s 210000K .......... .......... .......... .......... .......... 79% 168M 8s 210050K .......... .......... .......... .......... .......... 79% 132M 8s 210100K .......... .......... .......... .......... .......... 79% 116M 8s 210150K .......... .......... .......... .......... .......... 79% 133M 8s 210200K .......... .......... .......... .......... .......... 79% 80.4M 8s 210250K .......... .......... .......... .......... .......... 79% 128M 8s 210300K .......... .......... .......... .......... .......... 79% 119M 8s 210350K .......... .......... .......... .......... .......... 79% 142M 8s 210400K .......... .......... .......... .......... .......... 79% 155M 8s 210450K .......... .......... .......... .......... .......... 79% 18.8M 8s 210500K .......... .......... .......... .......... .......... 79% 125M 8s 210550K .......... .......... .......... .......... .......... 79% 48.0M 8s 210600K .......... .......... .......... .......... .......... 79% 109M 8s 210650K .......... .......... .......... .......... .......... 79% 37.4M 8s 210700K .......... .......... .......... .......... .......... 79% 32.7M 8s 210750K .......... .......... .......... .......... .......... 79% 43.9M 8s 210800K .......... .......... .......... .......... .......... 79% 37.8M 8s 210850K .......... .......... .......... .......... .......... 79% 41.2M 8s 210900K .......... .......... .......... .......... .......... 79% 15.4M 8s 210950K .......... .......... .......... .......... .......... 80% 36.3M 8s 211000K .......... .......... .......... .......... .......... 80% 48.5M 8s 211050K .......... .......... .......... .......... .......... 80% 29.8M 8s 211100K .......... .......... .......... .......... .......... 80% 38.9M 8s 211150K .......... .......... .......... .......... .......... 80% 38.3M 8s 211200K .......... .......... .......... .......... .......... 80% 22.7M 8s 211250K .......... .......... .......... .......... .......... 80% 37.3M 8s 211300K .......... .......... .......... .......... .......... 80% 45.3M 8s 211350K .......... .......... .......... .......... .......... 80% 35.9M 8s 211400K .......... .......... .......... .......... .......... 80% 30.5M 8s 211450K .......... .......... .......... .......... .......... 80% 25.6M 8s 211500K .......... .......... .......... .......... .......... 80% 33.8M 8s 211550K .......... .......... .......... .......... .......... 80% 41.7M 8s 211600K .......... .......... .......... .......... .......... 80% 36.0M 8s 211650K .......... .......... .......... .......... .......... 80% 45.6M 8s 211700K .......... .......... .......... .......... .......... 80% 26.6M 8s 211750K .......... .......... .......... .......... .......... 80% 46.3M 8s 211800K .......... .......... .......... .......... .......... 80% 32.1M 8s 211850K .......... .......... .......... .......... .......... 80% 101M 8s 211900K .......... .......... .......... .......... .......... 80% 12.4M 8s 211950K .......... .......... .......... .......... .......... 80% 191K 8s 212000K .......... .......... .......... .......... .......... 80% 144M 8s 212050K .......... .......... .......... .......... .......... 80% 151M 8s 212100K .......... .......... .......... .......... .......... 80% 98.2M 8s 212150K .......... .......... .......... .......... .......... 80% 133M 8s 212200K .......... .......... .......... .......... .......... 80% 114M 8s 212250K .......... .......... .......... .......... .......... 80% 188M 8s 212300K .......... .......... .......... .......... .......... 80% 198M 8s 212350K .......... .......... .......... .......... .......... 80% 118M 8s 212400K .......... .......... .......... .......... .......... 80% 104M 8s 212450K .......... .......... .......... .......... .......... 80% 18.9M 8s 212500K .......... .......... .......... .......... .......... 80% 69.0M 8s 212550K .......... .......... .......... .......... .......... 80% 36.9M 8s 212600K .......... .......... .......... .......... .......... 80% 38.7M 8s 212650K .......... .......... .......... .......... .......... 80% 44.8M 8s 212700K .......... .......... .......... .......... .......... 80% 43.5M 8s 212750K .......... .......... .......... .......... .......... 80% 45.9M 8s 212800K .......... .......... .......... .......... .......... 80% 47.2M 8s 212850K .......... .......... .......... .......... .......... 80% 27.6M 7s 212900K .......... .......... .......... .......... .......... 80% 45.0M 7s 212950K .......... .......... .......... .......... .......... 80% 40.9M 7s 213000K .......... .......... .......... .......... .......... 80% 39.4M 7s 213050K .......... .......... .......... .......... .......... 80% 38.6M 7s 213100K .......... .......... .......... .......... .......... 80% 37.4M 7s 213150K .......... .......... .......... .......... .......... 80% 39.4M 7s 213200K .......... .......... .......... .......... .......... 80% 38.5M 7s 213250K .......... .......... .......... .......... .......... 80% 27.2M 7s 213300K .......... .......... .......... .......... .......... 80% 41.9M 7s 213350K .......... .......... .......... .......... .......... 80% 40.1M 7s 213400K .......... .......... .......... .......... .......... 80% 34.4M 7s 213450K .......... .......... .......... .......... .......... 80% 31.2M 7s 213500K .......... .......... .......... .......... .......... 80% 45.0M 7s 213550K .......... .......... .......... .......... .......... 80% 29.3M 7s 213600K .......... .......... .......... .......... .......... 81% 38.5M 7s 213650K .......... .......... .......... .......... .......... 81% 35.8M 7s 213700K .......... .......... .......... .......... .......... 81% 31.4M 7s 213750K .......... .......... .......... .......... .......... 81% 33.9M 7s 213800K .......... .......... .......... .......... .......... 81% 43.1M 7s 213850K .......... .......... .......... .......... .......... 81% 35.6M 7s 213900K .......... .......... .......... .......... .......... 81% 38.7M 7s 213950K .......... .......... .......... .......... .......... 81% 30.3M 7s 214000K .......... .......... .......... .......... .......... 81% 290K 7s 214050K .......... .......... .......... .......... .......... 81% 164M 7s 214100K .......... .......... .......... .......... .......... 81% 173M 7s 214150K .......... .......... .......... .......... .......... 81% 107M 7s 214200K .......... .......... .......... .......... .......... 81% 91.3M 7s 214250K .......... .......... .......... .......... .......... 81% 133M 7s 214300K .......... .......... .......... .......... .......... 81% 135M 7s 214350K .......... .......... .......... .......... .......... 81% 128M 7s 214400K .......... .......... .......... .......... .......... 81% 133M 7s 214450K .......... .......... .......... .......... .......... 81% 133M 7s 214500K .......... .......... .......... .......... .......... 81% 140M 7s 214550K .......... .......... .......... .......... .......... 81% 39.8M 7s 214600K .......... .......... .......... .......... .......... 81% 123M 7s 214650K .......... .......... .......... .......... .......... 81% 139M 7s 214700K .......... .......... .......... .......... .......... 81% 70.5M 7s 214750K .......... .......... .......... .......... .......... 81% 59.4M 7s 214800K .......... .......... .......... .......... .......... 81% 57.5M 7s 214850K .......... .......... .......... .......... .......... 81% 82.2M 7s 214900K .......... .......... .......... .......... .......... 81% 37.7M 7s 214950K .......... .......... .......... .......... .......... 81% 34.1M 7s 215000K .......... .......... .......... .......... .......... 81% 743K 7s 215050K .......... .......... .......... .......... .......... 81% 147M 7s 215100K .......... .......... .......... .......... .......... 81% 34.6M 7s 215150K .......... .......... .......... .......... .......... 81% 37.1M 7s 215200K .......... .......... .......... .......... .......... 81% 60.2M 7s 215250K .......... .......... .......... .......... .......... 81% 107M 7s 215300K .......... .......... .......... .......... .......... 81% 55.4M 7s 215350K .......... .......... .......... .......... .......... 81% 40.9M 7s 215400K .......... .......... .......... .......... .......... 81% 138M 7s 215450K .......... .......... .......... .......... .......... 81% 71.1M 7s 215500K .......... .......... .......... .......... .......... 81% 47.9M 7s 215550K .......... .......... .......... .......... .......... 81% 43.1M 7s 215600K .......... .......... .......... .......... .......... 81% 39.2M 7s 215650K .......... .......... .......... .......... .......... 81% 30.6M 7s 215700K .......... .......... .......... .......... .......... 81% 102M 7s 215750K .......... .......... .......... .......... .......... 81% 47.8M 7s 215800K .......... .......... .......... .......... .......... 81% 34.2M 7s 215850K .......... .......... .......... .......... .......... 81% 28.2M 7s 215900K .......... .......... .......... .......... .......... 81% 45.5M 7s 215950K .......... .......... .......... .......... .......... 81% 39.0M 7s 216000K .......... .......... .......... .......... .......... 81% 41.9M 7s 216050K .......... .......... .......... .......... .......... 81% 45.7M 7s 216100K .......... .......... .......... .......... .......... 81% 34.9M 7s 216150K .......... .......... .......... .......... .......... 81% 51.2M 7s 216200K .......... .......... .......... .......... .......... 82% 38.8M 7s 216250K .......... .......... .......... .......... .......... 82% 46.5M 7s 216300K .......... .......... .......... .......... .......... 82% 17.9M 7s 216350K .......... .......... .......... .......... .......... 82% 111M 7s 216400K .......... .......... .......... .......... .......... 82% 47.5M 7s 216450K .......... .......... .......... .......... .......... 82% 32.2M 7s 216500K .......... .......... .......... .......... .......... 82% 40.0M 7s 216550K .......... .......... .......... .......... .......... 82% 34.8M 7s 216600K .......... .......... .......... .......... .......... 82% 35.1M 7s 216650K .......... .......... .......... .......... .......... 82% 40.5M 7s 216700K .......... .......... .......... .......... .......... 82% 42.0M 7s 216750K .......... .......... .......... .......... .......... 82% 34.1M 7s 216800K .......... .......... .......... .......... .......... 82% 38.9M 7s 216850K .......... .......... .......... .......... .......... 82% 35.4M 7s 216900K .......... .......... .......... .......... .......... 82% 45.7M 7s 216950K .......... .......... .......... .......... .......... 82% 28.7M 7s 217000K .......... .......... .......... .......... .......... 82% 42.4M 7s 217050K .......... .......... .......... .......... .......... 82% 126K 7s 217100K .......... .......... .......... .......... .......... 82% 137M 7s 217150K .......... .......... .......... .......... .......... 82% 167M 7s 217200K .......... .......... .......... .......... .......... 82% 113M 7s 217250K .......... .......... .......... .......... .......... 82% 103M 7s 217300K .......... .......... .......... .......... .......... 82% 122M 7s 217350K .......... .......... .......... .......... .......... 82% 147M 7s 217400K .......... .......... .......... .......... .......... 82% 227M 7s 217450K .......... .......... .......... .......... .......... 82% 103M 7s 217500K .......... .......... .......... .......... .......... 82% 118M 7s 217550K .......... .......... .......... .......... .......... 82% 71.9M 7s 217600K .......... .......... .......... .......... .......... 82% 38.9M 7s 217650K .......... .......... .......... .......... .......... 82% 58.3M 7s 217700K .......... .......... .......... .......... .......... 82% 34.2M 7s 217750K .......... .......... .......... .......... .......... 82% 75.6M 7s 217800K .......... .......... .......... .......... .......... 82% 35.7M 7s 217850K .......... .......... .......... .......... .......... 82% 45.3M 7s 217900K .......... .......... .......... .......... .......... 82% 61.3M 7s 217950K .......... .......... .......... .......... .......... 82% 56.3M 7s 218000K .......... .......... .......... .......... .......... 82% 65.6M 7s 218050K .......... .......... .......... .......... .......... 82% 46.2M 7s 218100K .......... .......... .......... .......... .......... 82% 257K 7s 218150K .......... .......... .......... .......... .......... 82% 145M 7s 218200K .......... .......... .......... .......... .......... 82% 108M 7s 218250K .......... .......... .......... .......... .......... 82% 131M 7s 218300K .......... .......... .......... .......... .......... 82% 116M 7s 218350K .......... .......... .......... .......... .......... 82% 109M 7s 218400K .......... .......... .......... .......... .......... 82% 118M 7s 218450K .......... .......... .......... .......... .......... 82% 102M 7s 218500K .......... .......... .......... .......... .......... 82% 114M 7s 218550K .......... .......... .......... .......... .......... 82% 153M 7s 218600K .......... .......... .......... .......... .......... 82% 22.2M 7s 218650K .......... .......... .......... .......... .......... 82% 32.5M 7s 218700K .......... .......... .......... .......... .......... 82% 26.9M 7s 218750K .......... .......... .......... .......... .......... 82% 44.0M 7s 218800K .......... .......... .......... .......... .......... 82% 30.7M 7s 218850K .......... .......... .......... .......... .......... 83% 21.5M 7s 218900K .......... .......... .......... .......... .......... 83% 35.6M 7s 218950K .......... .......... .......... .......... .......... 83% 33.8M 7s 219000K .......... .......... .......... .......... .......... 83% 45.3M 7s 219050K .......... .......... .......... .......... .......... 83% 36.6M 7s 219100K .......... .......... .......... .......... .......... 83% 146K 7s 219150K .......... .......... .......... .......... .......... 83% 117M 7s 219200K .......... .......... .......... .......... .......... 83% 132M 7s 219250K .......... .......... .......... .......... .......... 83% 125M 7s 219300K .......... .......... .......... .......... .......... 83% 152M 7s 219350K .......... .......... .......... .......... .......... 83% 106M 7s 219400K .......... .......... .......... .......... .......... 83% 128M 7s 219450K .......... .......... .......... .......... .......... 83% 81.9M 7s 219500K .......... .......... .......... .......... .......... 83% 140M 7s 219550K .......... .......... .......... .......... .......... 83% 93.4M 7s 219600K .......... .......... .......... .......... .......... 83% 25.8M 7s 219650K .......... .......... .......... .......... .......... 83% 17.9M 7s 219700K .......... .......... .......... .......... .......... 83% 98.8M 7s 219750K .......... .......... .......... .......... .......... 83% 19.2M 7s 219800K .......... .......... .......... .......... .......... 83% 142M 7s 219850K .......... .......... .......... .......... .......... 83% 31.6M 7s 219900K .......... .......... .......... .......... .......... 83% 30.1M 7s 219950K .......... .......... .......... .......... .......... 83% 31.6M 6s 220000K .......... .......... .......... .......... .......... 83% 42.7M 6s 220050K .......... .......... .......... .......... .......... 83% 21.9M 6s 220100K .......... .......... .......... .......... .......... 83% 23.0M 6s 220150K .......... .......... .......... .......... .......... 83% 38.4M 6s 220200K .......... .......... .......... .......... .......... 83% 29.5M 6s 220250K .......... .......... .......... .......... .......... 83% 37.6M 6s 220300K .......... .......... .......... .......... .......... 83% 31.0M 6s 220350K .......... .......... .......... .......... .......... 83% 40.5M 6s 220400K .......... .......... .......... .......... .......... 83% 30.7M 6s 220450K .......... .......... .......... .......... .......... 83% 43.7M 6s 220500K .......... .......... .......... .......... .......... 83% 28.2M 6s 220550K .......... .......... .......... .......... .......... 83% 43.4M 6s 220600K .......... .......... .......... .......... .......... 83% 23.6M 6s 220650K .......... .......... .......... .......... .......... 83% 41.6M 6s 220700K .......... .......... .......... .......... .......... 83% 28.1M 6s 220750K .......... .......... .......... .......... .......... 83% 36.3M 6s 220800K .......... .......... .......... .......... .......... 83% 34.0M 6s 220850K .......... .......... .......... .......... .......... 83% 30.9M 6s 220900K .......... .......... .......... .......... .......... 83% 30.7M 6s 220950K .......... .......... .......... .......... .......... 83% 39.1M 6s 221000K .......... .......... .......... .......... .......... 83% 44.5M 6s 221050K .......... .......... .......... .......... .......... 83% 59.4M 6s 221100K .......... .......... .......... .......... .......... 83% 102M 6s 221150K .......... .......... .......... .......... .......... 83% 391K 6s 221200K .......... .......... .......... .......... .......... 83% 108M 6s 221250K .......... .......... .......... .......... .......... 83% 135M 6s 221300K .......... .......... .......... .......... .......... 83% 118M 6s 221350K .......... .......... .......... .......... .......... 83% 119M 6s 221400K .......... .......... .......... .......... .......... 83% 110M 6s 221450K .......... .......... .......... .......... .......... 83% 102M 6s 221500K .......... .......... .......... .......... .......... 84% 139M 6s 221550K .......... .......... .......... .......... .......... 84% 164M 6s 221600K .......... .......... .......... .......... .......... 84% 156M 6s 221650K .......... .......... .......... .......... .......... 84% 18.5M 6s 221700K .......... .......... .......... .......... .......... 84% 91.8M 6s 221750K .......... .......... .......... .......... .......... 84% 102M 6s 221800K .......... .......... .......... .......... .......... 84% 136M 6s 221850K .......... .......... .......... .......... .......... 84% 82.9M 6s 221900K .......... .......... .......... .......... .......... 84% 157M 6s 221950K .......... .......... .......... .......... .......... 84% 128M 6s 222000K .......... .......... .......... .......... .......... 84% 106M 6s 222050K .......... .......... .......... .......... .......... 84% 34.7M 6s 222100K .......... .......... .......... .......... .......... 84% 124M 6s 222150K .......... .......... .......... .......... .......... 84% 44.6M 6s 222200K .......... .......... .......... .......... .......... 84% 190K 6s 222250K .......... .......... .......... .......... .......... 84% 136M 6s 222300K .......... .......... .......... .......... .......... 84% 94.6M 6s 222350K .......... .......... .......... .......... .......... 84% 126M 6s 222400K .......... .......... .......... .......... .......... 84% 171M 6s 222450K .......... .......... .......... .......... .......... 84% 118M 6s 222500K .......... .......... .......... .......... .......... 84% 103M 6s 222550K .......... .......... .......... .......... .......... 84% 116M 6s 222600K .......... .......... .......... .......... .......... 84% 109M 6s 222650K .......... .......... .......... .......... .......... 84% 87.2M 6s 222700K .......... .......... .......... .......... .......... 84% 38.7M 6s 222750K .......... .......... .......... .......... .......... 84% 46.9M 6s 222800K .......... .......... .......... .......... .......... 84% 105M 6s 222850K .......... .......... .......... .......... .......... 84% 39.5M 6s 222900K .......... .......... .......... .......... .......... 84% 44.1M 6s 222950K .......... .......... .......... .......... .......... 84% 52.5M 6s 223000K .......... .......... .......... .......... .......... 84% 54.3M 6s 223050K .......... .......... .......... .......... .......... 84% 71.1M 6s 223100K .......... .......... .......... .......... .......... 84% 32.2M 6s 223150K .......... .......... .......... .......... .......... 84% 99.4M 6s 223200K .......... .......... .......... .......... .......... 84% 766K 6s 223250K .......... .......... .......... .......... .......... 84% 41.5M 6s 223300K .......... .......... .......... .......... .......... 84% 133M 6s 223350K .......... .......... .......... .......... .......... 84% 35.2M 6s 223400K .......... .......... .......... .......... .......... 84% 75.4M 6s 223450K .......... .......... .......... .......... .......... 84% 65.9M 6s 223500K .......... .......... .......... .......... .......... 84% 53.8M 6s 223550K .......... .......... .......... .......... .......... 84% 62.8M 6s 223600K .......... .......... .......... .......... .......... 84% 53.9M 6s 223650K .......... .......... .......... .......... .......... 84% 91.1M 6s 223700K .......... .......... .......... .......... .......... 84% 9.33M 6s 223750K .......... .......... .......... .......... .......... 84% 152M 6s 223800K .......... .......... .......... .......... .......... 84% 20.1M 6s 223850K .......... .......... .......... .......... .......... 84% 40.7M 6s 223900K .......... .......... .......... .......... .......... 84% 26.5M 6s 223950K .......... .......... .......... .......... .......... 84% 28.6M 6s 224000K .......... .......... .......... .......... .......... 84% 25.0M 6s 224050K .......... .......... .......... .......... .......... 84% 33.8M 6s 224100K .......... .......... .......... .......... .......... 84% 32.7M 6s 224150K .......... .......... .......... .......... .......... 85% 37.8M 6s 224200K .......... .......... .......... .......... .......... 85% 24.1M 6s 224250K .......... .......... .......... .......... .......... 85% 36.1M 6s 224300K .......... .......... .......... .......... .......... 85% 41.6M 6s 224350K .......... .......... .......... .......... .......... 85% 43.2M 6s 224400K .......... .......... .......... .......... .......... 85% 38.3M 6s 224450K .......... .......... .......... .......... .......... 85% 34.7M 6s 224500K .......... .......... .......... .......... .......... 85% 47.5M 6s 224550K .......... .......... .......... .......... .......... 85% 27.2M 6s 224600K .......... .......... .......... .......... .......... 85% 35.2M 6s 224650K .......... .......... .......... .......... .......... 85% 53.2M 6s 224700K .......... .......... .......... .......... .......... 85% 35.2M 6s 224750K .......... .......... .......... .......... .......... 85% 44.6M 6s 224800K .......... .......... .......... .......... .......... 85% 35.7M 6s 224850K .......... .......... .......... .......... .......... 85% 46.7M 6s 224900K .......... .......... .......... .......... .......... 85% 38.8M 6s 224950K .......... .......... .......... .......... .......... 85% 48.9M 6s 225000K .......... .......... .......... .......... .......... 85% 28.2M 6s 225050K .......... .......... .......... .......... .......... 85% 21.0M 6s 225100K .......... .......... .......... .......... .......... 85% 29.8M 6s 225150K .......... .......... .......... .......... .......... 85% 24.2M 6s 225200K .......... .......... .......... .......... .......... 85% 106M 6s 225250K .......... .......... .......... .......... .......... 85% 526K 6s 225300K .......... .......... .......... .......... .......... 85% 148M 6s 225350K .......... .......... .......... .......... .......... 85% 99.4M 6s 225400K .......... .......... .......... .......... .......... 85% 146M 6s 225450K .......... .......... .......... .......... .......... 85% 97.7M 6s 225500K .......... .......... .......... .......... .......... 85% 117M 6s 225550K .......... .......... .......... .......... .......... 85% 113M 6s 225600K .......... .......... .......... .......... .......... 85% 115M 6s 225650K .......... .......... .......... .......... .......... 85% 149M 6s 225700K .......... .......... .......... .......... .......... 85% 132M 6s 225750K .......... .......... .......... .......... .......... 85% 167M 6s 225800K .......... .......... .......... .......... .......... 85% 64.5M 6s 225850K .......... .......... .......... .......... .......... 85% 49.0M 6s 225900K .......... .......... .......... .......... .......... 85% 89.6M 6s 225950K .......... .......... .......... .......... .......... 85% 41.3M 6s 226000K .......... .......... .......... .......... .......... 85% 52.3M 6s 226050K .......... .......... .......... .......... .......... 85% 90.1M 6s 226100K .......... .......... .......... .......... .......... 85% 23.3M 6s 226150K .......... .......... .......... .......... .......... 85% 14.9M 6s 226200K .......... .......... .......... .......... .......... 85% 69.6M 6s 226250K .......... .......... .......... .......... .......... 85% 43.3M 6s 226300K .......... .......... .......... .......... .......... 85% 214K 6s 226350K .......... .......... .......... .......... .......... 85% 138M 6s 226400K .......... .......... .......... .......... .......... 85% 137M 6s 226450K .......... .......... .......... .......... .......... 85% 114M 6s 226500K .......... .......... .......... .......... .......... 85% 168M 6s 226550K .......... .......... .......... .......... .......... 85% 145M 6s 226600K .......... .......... .......... .......... .......... 85% 122M 5s 226650K .......... .......... .......... .......... .......... 85% 137M 5s 226700K .......... .......... .......... .......... .......... 85% 130M 5s 226750K .......... .......... .......... .......... .......... 86% 90.9M 5s 226800K .......... .......... .......... .......... .......... 86% 49.4M 5s 226850K .......... .......... .......... .......... .......... 86% 43.9M 5s 226900K .......... .......... .......... .......... .......... 86% 11.4M 5s 226950K .......... .......... .......... .......... .......... 86% 108M 5s 227000K .......... .......... .......... .......... .......... 86% 38.9M 5s 227050K .......... .......... .......... .......... .......... 86% 24.6M 5s 227100K .......... .......... .......... .......... .......... 86% 24.0M 5s 227150K .......... .......... .......... .......... .......... 86% 30.6M 5s 227200K .......... .......... .......... .......... .......... 86% 17.2M 5s 227250K .......... .......... .......... .......... .......... 86% 33.9M 5s 227300K .......... .......... .......... .......... .......... 86% 26.2M 5s 227350K .......... .......... .......... .......... .......... 86% 37.9M 5s 227400K .......... .......... .......... .......... .......... 86% 40.9M 5s 227450K .......... .......... .......... .......... .......... 86% 40.0M 5s 227500K .......... .......... .......... .......... .......... 86% 33.5M 5s 227550K .......... .......... .......... .......... .......... 86% 44.7M 5s 227600K .......... .......... .......... .......... .......... 86% 32.7M 5s 227650K .......... .......... .......... .......... .......... 86% 39.2M 5s 227700K .......... .......... .......... .......... .......... 86% 39.3M 5s 227750K .......... .......... .......... .......... .......... 86% 37.0M 5s 227800K .......... .......... .......... .......... .......... 86% 38.7M 5s 227850K .......... .......... .......... .......... .......... 86% 32.1M 5s 227900K .......... .......... .......... .......... .......... 86% 40.3M 5s 227950K .......... .......... .......... .......... .......... 86% 26.1M 5s 228000K .......... .......... .......... .......... .......... 86% 39.0M 5s 228050K .......... .......... .......... .......... .......... 86% 36.0M 5s 228100K .......... .......... .......... .......... .......... 86% 32.1M 5s 228150K .......... .......... .......... .......... .......... 86% 63.2M 5s 228200K .......... .......... .......... .......... .......... 86% 50.4M 5s 228250K .......... .......... .......... .......... .......... 86% 85.4M 5s 228300K .......... .......... .......... .......... .......... 86% 91.4M 5s 228350K .......... .......... .......... .......... .......... 86% 182K 5s 228400K .......... .......... .......... .......... .......... 86% 181M 5s 228450K .......... .......... .......... .......... .......... 86% 118M 5s 228500K .......... .......... .......... .......... .......... 86% 161M 5s 228550K .......... .......... .......... .......... .......... 86% 133M 5s 228600K .......... .......... .......... .......... .......... 86% 136M 5s 228650K .......... .......... .......... .......... .......... 86% 152M 5s 228700K .......... .......... .......... .......... .......... 86% 120M 5s 228750K .......... .......... .......... .......... .......... 86% 158M 5s 228800K .......... .......... .......... .......... .......... 86% 151M 5s 228850K .......... .......... .......... .......... .......... 86% 132M 5s 228900K .......... .......... .......... .......... .......... 86% 65.3M 5s 228950K .......... .......... .......... .......... .......... 86% 16.7M 5s 229000K .......... .......... .......... .......... .......... 86% 128M 5s 229050K .......... .......... .......... .......... .......... 86% 142M 5s 229100K .......... .......... .......... .......... .......... 86% 133M 5s 229150K .......... .......... .......... .......... .......... 86% 107M 5s 229200K .......... .......... .......... .......... .......... 86% 32.8M 5s 229250K .......... .......... .......... .......... .......... 86% 42.1M 5s 229300K .......... .......... .......... .......... .......... 86% 120M 5s 229350K .......... .......... .......... .......... .......... 86% 392K 5s 229400K .......... .......... .......... .......... .......... 87% 133M 5s 229450K .......... .......... .......... .......... .......... 87% 129M 5s 229500K .......... .......... .......... .......... .......... 87% 159M 5s 229550K .......... .......... .......... .......... .......... 87% 124M 5s 229600K .......... .......... .......... .......... .......... 87% 129M 5s 229650K .......... .......... .......... .......... .......... 87% 158M 5s 229700K .......... .......... .......... .......... .......... 87% 84.9M 5s 229750K .......... .......... .......... .......... .......... 87% 121M 5s 229800K .......... .......... .......... .......... .......... 87% 140M 5s 229850K .......... .......... .......... .......... .......... 87% 47.2M 5s 229900K .......... .......... .......... .......... .......... 87% 45.6M 5s 229950K .......... .......... .......... .......... .......... 87% 28.1M 5s 230000K .......... .......... .......... .......... .......... 87% 48.5M 5s 230050K .......... .......... .......... .......... .......... 87% 105M 5s 230100K .......... .......... .......... .......... .......... 87% 38.1M 5s 230150K .......... .......... .......... .......... .......... 87% 40.5M 5s 230200K .......... .......... .......... .......... .......... 87% 33.6M 5s 230250K .......... .......... .......... .......... .......... 87% 32.4M 5s 230300K .......... .......... .......... .......... .......... 87% 31.8M 5s 230350K .......... .......... .......... .......... .......... 87% 36.4M 5s 230400K .......... .......... .......... .......... .......... 87% 42.2M 5s 230450K .......... .......... .......... .......... .......... 87% 39.6M 5s 230500K .......... .......... .......... .......... .......... 87% 79.1M 5s 230550K .......... .......... .......... .......... .......... 87% 45.8M 5s 230600K .......... .......... .......... .......... .......... 87% 46.2M 5s 230650K .......... .......... .......... .......... .......... 87% 46.5M 5s 230700K .......... .......... .......... .......... .......... 87% 37.1M 5s 230750K .......... .......... .......... .......... .......... 87% 31.7M 5s 230800K .......... .......... .......... .......... .......... 87% 58.1M 5s 230850K .......... .......... .......... .......... .......... 87% 42.6M 5s 230900K .......... .......... .......... .......... .......... 87% 30.3M 5s 230950K .......... .......... .......... .......... .......... 87% 18.3M 5s 231000K .......... .......... .......... .......... .......... 87% 138M 5s 231050K .......... .......... .......... .......... .......... 87% 51.6M 5s 231100K .......... .......... .......... .......... .......... 87% 17.2M 5s 231150K .......... .......... .......... .......... .......... 87% 18.9M 5s 231200K .......... .......... .......... .......... .......... 87% 99.0M 5s 231250K .......... .......... .......... .......... .......... 87% 37.6M 5s 231300K .......... .......... .......... .......... .......... 87% 30.2M 5s 231350K .......... .......... .......... .......... .......... 87% 22.8M 5s 231400K .......... .......... .......... .......... .......... 87% 469K 5s 231450K .......... .......... .......... .......... .......... 87% 89.6M 5s 231500K .......... .......... .......... .......... .......... 87% 25.6M 5s 231550K .......... .......... .......... .......... .......... 87% 40.1M 5s 231600K .......... .......... .......... .......... .......... 87% 28.5M 5s 231650K .......... .......... .......... .......... .......... 87% 17.1M 5s 231700K .......... .......... .......... .......... .......... 87% 30.2M 5s 231750K .......... .......... .......... .......... .......... 87% 23.5M 5s 231800K .......... .......... .......... .......... .......... 87% 25.3M 5s 231850K .......... .......... .......... .......... .......... 87% 17.5M 5s 231900K .......... .......... .......... .......... .......... 87% 25.6M 5s 231950K .......... .......... .......... .......... .......... 87% 23.9M 5s 232000K .......... .......... .......... .......... .......... 87% 25.6M 5s 232050K .......... .......... .......... .......... .......... 88% 23.0M 5s 232100K .......... .......... .......... .......... .......... 88% 27.2M 5s 232150K .......... .......... .......... .......... .......... 88% 29.2M 5s 232200K .......... .......... .......... .......... .......... 88% 22.7M 5s 232250K .......... .......... .......... .......... .......... 88% 31.1M 5s 232300K .......... .......... .......... .......... .......... 88% 28.9M 5s 232350K .......... .......... .......... .......... .......... 88% 26.3M 5s 232400K .......... .......... .......... .......... .......... 88% 33.2M 5s 232450K .......... .......... .......... .......... .......... 88% 194K 5s 232500K .......... .......... .......... .......... .......... 88% 195M 5s 232550K .......... .......... .......... .......... .......... 88% 140M 5s 232600K .......... .......... .......... .......... .......... 88% 116M 5s 232650K .......... .......... .......... .......... .......... 88% 144M 5s 232700K .......... .......... .......... .......... .......... 88% 86.6M 5s 232750K .......... .......... .......... .......... .......... 88% 89.3M 5s 232800K .......... .......... .......... .......... .......... 88% 135M 5s 232850K .......... .......... .......... .......... .......... 88% 105M 5s 232900K .......... .......... .......... .......... .......... 88% 95.9M 5s 232950K .......... .......... .......... .......... .......... 88% 39.2M 5s 233000K .......... .......... .......... .......... .......... 88% 35.4M 5s 233050K .......... .......... .......... .......... .......... 88% 42.1M 5s 233100K .......... .......... .......... .......... .......... 88% 26.7M 5s 233150K .......... .......... .......... .......... .......... 88% 49.9M 5s 233200K .......... .......... .......... .......... .......... 88% 34.9M 5s 233250K .......... .......... .......... .......... .......... 88% 51.1M 5s 233300K .......... .......... .......... .......... .......... 88% 66.0M 4s 233350K .......... .......... .......... .......... .......... 88% 44.5M 4s 233400K .......... .......... .......... .......... .......... 88% 41.0M 4s 233450K .......... .......... .......... .......... .......... 88% 53.4M 4s 233500K .......... .......... .......... .......... .......... 88% 81.5M 4s 233550K .......... .......... .......... .......... .......... 88% 105M 4s 233600K .......... .......... .......... .......... .......... 88% 42.6M 4s 233650K .......... .......... .......... .......... .......... 88% 119M 4s 233700K .......... .......... .......... .......... .......... 88% 51.2M 4s 233750K .......... .......... .......... .......... .......... 88% 55.0M 4s 233800K .......... .......... .......... .......... .......... 88% 28.3M 4s 233850K .......... .......... .......... .......... .......... 88% 107M 4s 233900K .......... .......... .......... .......... .......... 88% 60.4M 4s 233950K .......... .......... .......... .......... .......... 88% 113M 4s 234000K .......... .......... .......... .......... .......... 88% 124M 4s 234050K .......... .......... .......... .......... .......... 88% 38.0M 4s 234100K .......... .......... .......... .......... .......... 88% 35.7M 4s 234150K .......... .......... .......... .......... .......... 88% 46.7M 4s 234200K .......... .......... .......... .......... .......... 88% 37.3M 4s 234250K .......... .......... .......... .......... .......... 88% 40.7M 4s 234300K .......... .......... .......... .......... .......... 88% 31.5M 4s 234350K .......... .......... .......... .......... .......... 88% 43.3M 4s 234400K .......... .......... .......... .......... .......... 88% 51.2M 4s 234450K .......... .......... .......... .......... .......... 88% 211K 4s 234500K .......... .......... .......... .......... .......... 88% 140M 4s 234550K .......... .......... .......... .......... .......... 88% 199M 4s 234600K .......... .......... .......... .......... .......... 88% 119M 4s 234650K .......... .......... .......... .......... .......... 88% 93.5M 4s 234700K .......... .......... .......... .......... .......... 89% 103M 4s 234750K .......... .......... .......... .......... .......... 89% 109M 4s 234800K .......... .......... .......... .......... .......... 89% 135M 4s 234850K .......... .......... .......... .......... .......... 89% 125M 4s 234900K .......... .......... .......... .......... .......... 89% 159M 4s 234950K .......... .......... .......... .......... .......... 89% 47.9M 4s 235000K .......... .......... .......... .......... .......... 89% 152M 4s 235050K .......... .......... .......... .......... .......... 89% 61.0M 4s 235100K .......... .......... .......... .......... .......... 89% 33.5M 4s 235150K .......... .......... .......... .......... .......... 89% 41.6M 4s 235200K .......... .......... .......... .......... .......... 89% 37.1M 4s 235250K .......... .......... .......... .......... .......... 89% 50.0M 4s 235300K .......... .......... .......... .......... .......... 89% 51.9M 4s 235350K .......... .......... .......... .......... .......... 89% 34.3M 4s 235400K .......... .......... .......... .......... .......... 89% 55.7M 4s 235450K .......... .......... .......... .......... .......... 89% 39.5M 4s 235500K .......... .......... .......... .......... .......... 89% 730K 4s 235550K .......... .......... .......... .......... .......... 89% 39.9M 4s 235600K .......... .......... .......... .......... .......... 89% 41.6M 4s 235650K .......... .......... .......... .......... .......... 89% 106M 4s 235700K .......... .......... .......... .......... .......... 89% 34.9M 4s 235750K .......... .......... .......... .......... .......... 89% 86.4M 4s 235800K .......... .......... .......... .......... .......... 89% 47.3M 4s 235850K .......... .......... .......... .......... .......... 89% 52.8M 4s 235900K .......... .......... .......... .......... .......... 89% 81.8M 4s 235950K .......... .......... .......... .......... .......... 89% 39.0M 4s 236000K .......... .......... .......... .......... .......... 89% 50.2M 4s 236050K .......... .......... .......... .......... .......... 89% 90.4M 4s 236100K .......... .......... .......... .......... .......... 89% 45.3M 4s 236150K .......... .......... .......... .......... .......... 89% 66.6M 4s 236200K .......... .......... .......... .......... .......... 89% 36.3M 4s 236250K .......... .......... .......... .......... .......... 89% 31.2M 4s 236300K .......... .......... .......... .......... .......... 89% 52.2M 4s 236350K .......... .......... .......... .......... .......... 89% 35.9M 4s 236400K .......... .......... .......... .......... .......... 89% 25.0M 4s 236450K .......... .......... .......... .......... .......... 89% 77.0M 4s 236500K .......... .......... .......... .......... .......... 89% 10.8M 4s 236550K .......... .......... .......... .......... .......... 89% 39.9M 4s 236600K .......... .......... .......... .......... .......... 89% 36.8M 4s 236650K .......... .......... .......... .......... .......... 89% 40.7M 4s 236700K .......... .......... .......... .......... .......... 89% 35.9M 4s 236750K .......... .......... .......... .......... .......... 89% 19.7M 4s 236800K .......... .......... .......... .......... .......... 89% 16.7M 4s 236850K .......... .......... .......... .......... .......... 89% 130M 4s 236900K .......... .......... .......... .......... .......... 89% 26.6M 4s 236950K .......... .......... .......... .......... .......... 89% 20.8M 4s 237000K .......... .......... .......... .......... .......... 89% 21.3M 4s 237050K .......... .......... .......... .......... .......... 89% 33.3M 4s 237100K .......... .......... .......... .......... .......... 89% 21.2M 4s 237150K .......... .......... .......... .......... .......... 89% 31.0M 4s 237200K .......... .......... .......... .......... .......... 89% 15.1M 4s 237250K .......... .......... .......... .......... .......... 89% 36.2M 4s 237300K .......... .......... .......... .......... .......... 90% 22.1M 4s 237350K .......... .......... .......... .......... .......... 90% 34.5M 4s 237400K .......... .......... .......... .......... .......... 90% 21.8M 4s 237450K .......... .......... .......... .......... .......... 90% 38.2M 4s 237500K .......... .......... .......... .......... .......... 90% 24.3M 4s 237550K .......... .......... .......... .......... .......... 90% 631K 4s 237600K .......... .......... .......... .......... .......... 90% 38.5M 4s 237650K .......... .......... .......... .......... .......... 90% 141M 4s 237700K .......... .......... .......... .......... .......... 90% 37.0M 4s 237750K .......... .......... .......... .......... .......... 90% 24.4M 4s 237800K .......... .......... .......... .......... .......... 90% 142M 4s 237850K .......... .......... .......... .......... .......... 90% 133M 4s 237900K .......... .......... .......... .......... .......... 90% 47.9M 4s 237950K .......... .......... .......... .......... .......... 90% 41.8M 4s 238000K .......... .......... .......... .......... .......... 90% 61.7M 4s 238050K .......... .......... .......... .......... .......... 90% 53.6M 4s 238100K .......... .......... .......... .......... .......... 90% 40.2M 4s 238150K .......... .......... .......... .......... .......... 90% 53.9M 4s 238200K .......... .......... .......... .......... .......... 90% 47.6M 4s 238250K .......... .......... .......... .......... .......... 90% 57.7M 4s 238300K .......... .......... .......... .......... .......... 90% 59.3M 4s 238350K .......... .......... .......... .......... .......... 90% 35.6M 4s 238400K .......... .......... .......... .......... .......... 90% 72.6M 4s 238450K .......... .......... .......... .......... .......... 90% 44.3M 4s 238500K .......... .......... .......... .......... .......... 90% 45.3M 4s 238550K .......... .......... .......... .......... .......... 90% 342K 4s 238600K .......... .......... .......... .......... .......... 90% 107M 4s 238650K .......... .......... .......... .......... .......... 90% 121M 4s 238700K .......... .......... .......... .......... .......... 90% 107M 4s 238750K .......... .......... .......... .......... .......... 90% 136M 4s 238800K .......... .......... .......... .......... .......... 90% 143M 4s 238850K .......... .......... .......... .......... .......... 90% 122M 4s 238900K .......... .......... .......... .......... .......... 90% 146M 4s 238950K .......... .......... .......... .......... .......... 90% 136M 4s 239000K .......... .......... .......... .......... .......... 90% 12.5M 4s 239050K .......... .......... .......... .......... .......... 90% 108M 4s 239100K .......... .......... .......... .......... .......... 90% 108M 4s 239150K .......... .......... .......... .......... .......... 90% 131M 4s 239200K .......... .......... .......... .......... .......... 90% 122M 4s 239250K .......... .......... .......... .......... .......... 90% 108M 4s 239300K .......... .......... .......... .......... .......... 90% 28.9M 4s 239350K .......... .......... .......... .......... .......... 90% 32.5M 4s 239400K .......... .......... .......... .......... .......... 90% 41.9M 4s 239450K .......... .......... .......... .......... .......... 90% 40.0M 4s 239500K .......... .......... .......... .......... .......... 90% 52.1M 4s 239550K .......... .......... .......... .......... .......... 90% 48.8M 4s 239600K .......... .......... .......... .......... .......... 90% 44.8M 4s 239650K .......... .......... .......... .......... .......... 90% 39.9M 4s 239700K .......... .......... .......... .......... .......... 90% 29.2M 4s 239750K .......... .......... .......... .......... .......... 90% 45.5M 4s 239800K .......... .......... .......... .......... .......... 90% 94.6M 4s 239850K .......... .......... .......... .......... .......... 90% 30.2M 3s 239900K .......... .......... .......... .......... .......... 90% 48.1M 3s 239950K .......... .......... .......... .......... .......... 91% 43.4M 3s 240000K .......... .......... .......... .......... .......... 91% 46.3M 3s 240050K .......... .......... .......... .......... .......... 91% 43.8M 3s 240100K .......... .......... .......... .......... .......... 91% 32.4M 3s 240150K .......... .......... .......... .......... .......... 91% 41.7M 3s 240200K .......... .......... .......... .......... .......... 91% 46.5M 3s 240250K .......... .......... .......... .......... .......... 91% 46.3M 3s 240300K .......... .......... .......... .......... .......... 91% 47.7M 3s 240350K .......... .......... .......... .......... .......... 91% 55.4M 3s 240400K .......... .......... .......... .......... .......... 91% 47.3M 3s 240450K .......... .......... .......... .......... .......... 91% 39.4M 3s 240500K .......... .......... .......... .......... .......... 91% 53.4M 3s 240550K .......... .......... .......... .......... .......... 91% 67.8M 3s 240600K .......... .......... .......... .......... .......... 91% 162K 3s 240650K .......... .......... .......... .......... .......... 91% 127M 3s 240700K .......... .......... .......... .......... .......... 91% 94.1M 3s 240750K .......... .......... .......... .......... .......... 91% 112M 3s 240800K .......... .......... .......... .......... .......... 91% 159M 3s 240850K .......... .......... .......... .......... .......... 91% 105M 3s 240900K .......... .......... .......... .......... .......... 91% 128M 3s 240950K .......... .......... .......... .......... .......... 91% 90.9M 3s 241000K .......... .......... .......... .......... .......... 91% 114M 3s 241050K .......... .......... .......... .......... .......... 91% 136M 3s 241100K .......... .......... .......... .......... .......... 91% 125M 3s 241150K .......... .......... .......... .......... .......... 91% 150M 3s 241200K .......... .......... .......... .......... .......... 91% 39.6M 3s 241250K .......... .......... .......... .......... .......... 91% 43.9M 3s 241300K .......... .......... .......... .......... .......... 91% 46.2M 3s 241350K .......... .......... .......... .......... .......... 91% 51.1M 3s 241400K .......... .......... .......... .......... .......... 91% 43.9M 3s 241450K .......... .......... .......... .......... .......... 91% 33.1M 3s 241500K .......... .......... .......... .......... .......... 91% 59.6M 3s 241550K .......... .......... .......... .......... .......... 91% 46.9M 3s 241600K .......... .......... .......... .......... .......... 91% 42.1M 3s 241650K .......... .......... .......... .......... .......... 91% 216K 3s 241700K .......... .......... .......... .......... .......... 91% 120M 3s 241750K .......... .......... .......... .......... .......... 91% 130M 3s 241800K .......... .......... .......... .......... .......... 91% 97.1M 3s 241850K .......... .......... .......... .......... .......... 91% 94.1M 3s 241900K .......... .......... .......... .......... .......... 91% 132M 3s 241950K .......... .......... .......... .......... .......... 91% 140M 3s 242000K .......... .......... .......... .......... .......... 91% 169M 3s 242050K .......... .......... .......... .......... .......... 91% 156M 3s 242100K .......... .......... .......... .......... .......... 91% 74.9M 3s 242150K .......... .......... .......... .......... .......... 91% 135M 3s 242200K .......... .......... .......... .......... .......... 91% 86.3M 3s 242250K .......... .......... .......... .......... .......... 91% 49.0M 3s 242300K .......... .......... .......... .......... .......... 91% 55.3M 3s 242350K .......... .......... .......... .......... .......... 91% 32.9M 3s 242400K .......... .......... .......... .......... .......... 91% 46.2M 3s 242450K .......... .......... .......... .......... .......... 91% 40.7M 3s 242500K .......... .......... .......... .......... .......... 91% 27.4M 3s 242550K .......... .......... .......... .......... .......... 91% 93.7M 3s 242600K .......... .......... .......... .......... .......... 92% 34.4M 3s 242650K .......... .......... .......... .......... .......... 92% 23.9M 3s 242700K .......... .......... .......... .......... .......... 92% 31.6M 3s 242750K .......... .......... .......... .......... .......... 92% 28.1M 3s 242800K .......... .......... .......... .......... .......... 92% 28.2M 3s 242850K .......... .......... .......... .......... .......... 92% 30.2M 3s 242900K .......... .......... .......... .......... .......... 92% 15.8M 3s 242950K .......... .......... .......... .......... .......... 92% 51.9M 3s 243000K .......... .......... .......... .......... .......... 92% 26.9M 3s 243050K .......... .......... .......... .......... .......... 92% 31.9M 3s 243100K .......... .......... .......... .......... .......... 92% 32.5M 3s 243150K .......... .......... .......... .......... .......... 92% 32.6M 3s 243200K .......... .......... .......... .......... .......... 92% 29.2M 3s 243250K .......... .......... .......... .......... .......... 92% 35.0M 3s 243300K .......... .......... .......... .......... .......... 92% 36.5M 3s 243350K .......... .......... .......... .......... .......... 92% 25.6M 3s 243400K .......... .......... .......... .......... .......... 92% 31.7M 3s 243450K .......... .......... .......... .......... .......... 92% 25.8M 3s 243500K .......... .......... .......... .......... .......... 92% 40.6M 3s 243550K .......... .......... .......... .......... .......... 92% 27.4M 3s 243600K .......... .......... .......... .......... .......... 92% 28.6M 3s 243650K .......... .......... .......... .......... .......... 92% 33.7M 3s 243700K .......... .......... .......... .......... .......... 92% 251K 3s 243750K .......... .......... .......... .......... .......... 92% 140M 3s 243800K .......... .......... .......... .......... .......... 92% 40.6M 3s 243850K .......... .......... .......... .......... .......... 92% 47.2M 3s 243900K .......... .......... .......... .......... .......... 92% 69.9M 3s 243950K .......... .......... .......... .......... .......... 92% 52.4M 3s 244000K .......... .......... .......... .......... .......... 92% 39.1M 3s 244050K .......... .......... .......... .......... .......... 92% 38.0M 3s 244100K .......... .......... .......... .......... .......... 92% 50.0M 3s 244150K .......... .......... .......... .......... .......... 92% 59.8M 3s 244200K .......... .......... .......... .......... .......... 92% 43.8M 3s 244250K .......... .......... .......... .......... .......... 92% 55.2M 3s 244300K .......... .......... .......... .......... .......... 92% 49.0M 3s 244350K .......... .......... .......... .......... .......... 92% 45.2M 3s 244400K .......... .......... .......... .......... .......... 92% 72.4M 3s 244450K .......... .......... .......... .......... .......... 92% 33.3M 3s 244500K .......... .......... .......... .......... .......... 92% 64.2M 3s 244550K .......... .......... .......... .......... .......... 92% 55.4M 3s 244600K .......... .......... .......... .......... .......... 92% 50.1M 3s 244650K .......... .......... .......... .......... .......... 92% 49.1M 3s 244700K .......... .......... .......... .......... .......... 92% 237K 3s 244750K .......... .......... .......... .......... .......... 92% 225M 3s 244800K .......... .......... .......... .......... .......... 92% 157M 3s 244850K .......... .......... .......... .......... .......... 92% 113M 3s 244900K .......... .......... .......... .......... .......... 92% 137M 3s 244950K .......... .......... .......... .......... .......... 92% 115M 3s 245000K .......... .......... .......... .......... .......... 92% 159M 3s 245050K .......... .......... .......... .......... .......... 92% 182M 3s 245100K .......... .......... .......... .......... .......... 92% 103M 3s 245150K .......... .......... .......... .......... .......... 92% 168M 3s 245200K .......... .......... .......... .......... .......... 92% 118M 3s 245250K .......... .......... .......... .......... .......... 93% 55.1M 3s 245300K .......... .......... .......... .......... .......... 93% 44.9M 3s 245350K .......... .......... .......... .......... .......... 93% 96.7M 3s 245400K .......... .......... .......... .......... .......... 93% 39.9M 3s 245450K .......... .......... .......... .......... .......... 93% 45.7M 3s 245500K .......... .......... .......... .......... .......... 93% 51.4M 3s 245550K .......... .......... .......... .......... .......... 93% 89.6M 3s 245600K .......... .......... .......... .......... .......... 93% 49.5M 3s 245650K .......... .......... .......... .......... .......... 93% 62.0M 3s 245700K .......... .......... .......... .......... .......... 93% 42.1M 3s 245750K .......... .......... .......... .......... .......... 93% 69.9M 3s 245800K .......... .......... .......... .......... .......... 93% 50.7M 3s 245850K .......... .......... .......... .......... .......... 93% 52.2M 3s 245900K .......... .......... .......... .......... .......... 93% 53.5M 3s 245950K .......... .......... .......... .......... .......... 93% 52.4M 3s 246000K .......... .......... .......... .......... .......... 93% 52.2M 3s 246050K .......... .......... .......... .......... .......... 93% 101M 3s 246100K .......... .......... .......... .......... .......... 93% 48.9M 3s 246150K .......... .......... .......... .......... .......... 93% 65.1M 3s 246200K .......... .......... .......... .......... .......... 93% 42.8M 3s 246250K .......... .......... .......... .......... .......... 93% 61.7M 3s 246300K .......... .......... .......... .......... .......... 93% 63.4M 3s 246350K .......... .......... .......... .......... .......... 93% 52.1M 3s 246400K .......... .......... .......... .......... .......... 93% 47.5M 3s 246450K .......... .......... .......... .......... .......... 93% 92.3M 3s 246500K .......... .......... .......... .......... .......... 93% 50.4M 3s 246550K .......... .......... .......... .......... .......... 93% 27.8M 3s 246600K .......... .......... .......... .......... .......... 93% 30.6M 3s 246650K .......... .......... .......... .......... .......... 93% 21.5M 3s 246700K .......... .......... .......... .......... .......... 93% 118M 2s 246750K .......... .......... .......... .......... .......... 93% 204K 3s 246800K .......... .......... .......... .......... .......... 93% 133M 2s 246850K .......... .......... .......... .......... .......... 93% 118M 2s 246900K .......... .......... .......... .......... .......... 93% 146M 2s 246950K .......... .......... .......... .......... .......... 93% 109M 2s 247000K .......... .......... .......... .......... .......... 93% 88.3M 2s 247050K .......... .......... .......... .......... .......... 93% 155M 2s 247100K .......... .......... .......... .......... .......... 93% 156M 2s 247150K .......... .......... .......... .......... .......... 93% 136M 2s 247200K .......... .......... .......... .......... .......... 93% 128M 2s 247250K .......... .......... .......... .......... .......... 93% 47.0M 2s 247300K .......... .......... .......... .......... .......... 93% 40.1M 2s 247350K .......... .......... .......... .......... .......... 93% 35.9M 2s 247400K .......... .......... .......... .......... .......... 93% 44.5M 2s 247450K .......... .......... .......... .......... .......... 93% 47.1M 2s 247500K .......... .......... .......... .......... .......... 93% 50.2M 2s 247550K .......... .......... .......... .......... .......... 93% 41.5M 2s 247600K .......... .......... .......... .......... .......... 93% 52.3M 2s 247650K .......... .......... .......... .......... .......... 93% 44.9M 2s 247700K .......... .......... .......... .......... .......... 93% 42.8M 2s 247750K .......... .......... .......... .......... .......... 93% 48.2M 2s 247800K .......... .......... .......... .......... .......... 93% 284K 2s 247850K .......... .......... .......... .......... .......... 94% 189M 2s 247900K .......... .......... .......... .......... .......... 94% 45.8M 2s 247950K .......... .......... .......... .......... .......... 94% 41.4M 2s 248000K .......... .......... .......... .......... .......... 94% 52.4M 2s 248050K .......... .......... .......... .......... .......... 94% 57.2M 2s 248100K .......... .......... .......... .......... .......... 94% 63.2M 2s 248150K .......... .......... .......... .......... .......... 94% 47.5M 2s 248200K .......... .......... .......... .......... .......... 94% 40.0M 2s 248250K .......... .......... .......... .......... .......... 94% 45.2M 2s 248300K .......... .......... .......... .......... .......... 94% 46.5M 2s 248350K .......... .......... .......... .......... .......... 94% 38.1M 2s 248400K .......... .......... .......... .......... .......... 94% 45.9M 2s 248450K .......... .......... .......... .......... .......... 94% 44.0M 2s 248500K .......... .......... .......... .......... .......... 94% 54.6M 2s 248550K .......... .......... .......... .......... .......... 94% 55.1M 2s 248600K .......... .......... .......... .......... .......... 94% 55.7M 2s 248650K .......... .......... .......... .......... .......... 94% 55.6M 2s 248700K .......... .......... .......... .......... .......... 94% 42.8M 2s 248750K .......... .......... .......... .......... .......... 94% 18.0M 2s 248800K .......... .......... .......... .......... .......... 94% 889K 2s 248850K .......... .......... .......... .......... .......... 94% 34.3M 2s 248900K .......... .......... .......... .......... .......... 94% 118M 2s 248950K .......... .......... .......... .......... .......... 94% 44.8M 2s 249000K .......... .......... .......... .......... .......... 94% 24.1M 2s 249050K .......... .......... .......... .......... .......... 94% 163M 2s 249100K .......... .......... .......... .......... .......... 94% 134M 2s 249150K .......... .......... .......... .......... .......... 94% 121M 2s 249200K .......... .......... .......... .......... .......... 94% 65.8M 2s 249250K .......... .......... .......... .......... .......... 94% 45.4M 2s 249300K .......... .......... .......... .......... .......... 94% 132M 2s 249350K .......... .......... .......... .......... .......... 94% 18.1M 2s 249400K .......... .......... .......... .......... .......... 94% 78.4M 2s 249450K .......... .......... .......... .......... .......... 94% 127M 2s 249500K .......... .......... .......... .......... .......... 94% 40.9M 2s 249550K .......... .......... .......... .......... .......... 94% 95.4M 2s 249600K .......... .......... .......... .......... .......... 94% 45.0M 2s 249650K .......... .......... .......... .......... .......... 94% 11.8M 2s 249700K .......... .......... .......... .......... .......... 94% 105M 2s 249750K .......... .......... .......... .......... .......... 94% 30.5M 2s 249800K .......... .......... .......... .......... .......... 94% 31.2M 2s 249850K .......... .......... .......... .......... .......... 94% 253K 2s 249900K .......... .......... .......... .......... .......... 94% 142M 2s 249950K .......... .......... .......... .......... .......... 94% 133M 2s 250000K .......... .......... .......... .......... .......... 94% 27.9M 2s 250050K .......... .......... .......... .......... .......... 94% 32.0M 2s 250100K .......... .......... .......... .......... .......... 94% 26.7M 2s 250150K .......... .......... .......... .......... .......... 94% 34.0M 2s 250200K .......... .......... .......... .......... .......... 94% 24.6M 2s 250250K .......... .......... .......... .......... .......... 94% 32.7M 2s 250300K .......... .......... .......... .......... .......... 94% 38.3M 2s 250350K .......... .......... .......... .......... .......... 94% 25.8M 2s 250400K .......... .......... .......... .......... .......... 94% 42.5M 2s 250450K .......... .......... .......... .......... .......... 94% 22.6M 2s 250500K .......... .......... .......... .......... .......... 95% 30.4M 2s 250550K .......... .......... .......... .......... .......... 95% 40.4M 2s 250600K .......... .......... .......... .......... .......... 95% 26.4M 2s 250650K .......... .......... .......... .......... .......... 95% 46.8M 2s 250700K .......... .......... .......... .......... .......... 95% 24.5M 2s 250750K .......... .......... .......... .......... .......... 95% 41.3M 2s 250800K .......... .......... .......... .......... .......... 95% 27.3M 2s 250850K .......... .......... .......... .......... .......... 95% 259K 2s 250900K .......... .......... .......... .......... .......... 95% 142M 2s 250950K .......... .......... .......... .......... .......... 95% 192M 2s 251000K .......... .......... .......... .......... .......... 95% 160M 2s 251050K .......... .......... .......... .......... .......... 95% 102M 2s 251100K .......... .......... .......... .......... .......... 95% 140M 2s 251150K .......... .......... .......... .......... .......... 95% 197M 2s 251200K .......... .......... .......... .......... .......... 95% 155M 2s 251250K .......... .......... .......... .......... .......... 95% 152M 2s 251300K .......... .......... .......... .......... .......... 95% 116M 2s 251350K .......... .......... .......... .......... .......... 95% 89.4M 2s 251400K .......... .......... .......... .......... .......... 95% 48.9M 2s 251450K .......... .......... .......... .......... .......... 95% 55.5M 2s 251500K .......... .......... .......... .......... .......... 95% 69.9M 2s 251550K .......... .......... .......... .......... .......... 95% 56.3M 2s 251600K .......... .......... .......... .......... .......... 95% 71.3M 2s 251650K .......... .......... .......... .......... .......... 95% 47.0M 2s 251700K .......... .......... .......... .......... .......... 95% 59.0M 2s 251750K .......... .......... .......... .......... .......... 95% 55.5M 2s 251800K .......... .......... .......... .......... .......... 95% 59.8M 2s 251850K .......... .......... .......... .......... .......... 95% 45.3M 2s 251900K .......... .......... .......... .......... .......... 95% 2.71M 2s 251950K .......... .......... .......... .......... .......... 95% 30.4M 2s 252000K .......... .......... .......... .......... .......... 95% 40.8M 2s 252050K .......... .......... .......... .......... .......... 95% 91.6M 2s 252100K .......... .......... .......... .......... .......... 95% 46.9M 2s 252150K .......... .......... .......... .......... .......... 95% 103M 2s 252200K .......... .......... .......... .......... .......... 95% 46.3M 2s 252250K .......... .......... .......... .......... .......... 95% 61.9M 2s 252300K .......... .......... .......... .......... .......... 95% 68.9M 2s 252350K .......... .......... .......... .......... .......... 95% 19.0M 2s 252400K .......... .......... .......... .......... .......... 95% 73.8M 2s 252450K .......... .......... .......... .......... .......... 95% 74.4M 2s 252500K .......... .......... .......... .......... .......... 95% 72.3M 2s 252550K .......... .......... .......... .......... .......... 95% 56.6M 2s 252600K .......... .......... .......... .......... .......... 95% 42.5M 2s 252650K .......... .......... .......... .......... .......... 95% 51.6M 2s 252700K .......... .......... .......... .......... .......... 95% 55.2M 2s 252750K .......... .......... .......... .......... .......... 95% 59.8M 2s 252800K .......... .......... .......... .......... .......... 95% 51.1M 2s 252850K .......... .......... .......... .......... .......... 95% 51.5M 2s 252900K .......... .......... .......... .......... .......... 95% 412K 2s 252950K .......... .......... .......... .......... .......... 95% 124M 2s 253000K .......... .......... .......... .......... .......... 95% 147M 2s 253050K .......... .......... .......... .......... .......... 95% 152M 2s 253100K .......... .......... .......... .......... .......... 95% 144M 2s 253150K .......... .......... .......... .......... .......... 96% 99.2M 2s 253200K .......... .......... .......... .......... .......... 96% 112M 2s 253250K .......... .......... .......... .......... .......... 96% 127M 2s 253300K .......... .......... .......... .......... .......... 96% 135M 2s 253350K .......... .......... .......... .......... .......... 96% 140M 2s 253400K .......... .......... .......... .......... .......... 96% 111M 2s 253450K .......... .......... .......... .......... .......... 96% 51.8M 2s 253500K .......... .......... .......... .......... .......... 96% 67.4M 2s 253550K .......... .......... .......... .......... .......... 96% 65.6M 1s 253600K .......... .......... .......... .......... .......... 96% 77.0M 1s 253650K .......... .......... .......... .......... .......... 96% 46.0M 1s 253700K .......... .......... .......... .......... .......... 96% 43.9M 1s 253750K .......... .......... .......... .......... .......... 96% 107M 1s 253800K .......... .......... .......... .......... .......... 96% 44.4M 1s 253850K .......... .......... .......... .......... .......... 96% 24.2M 1s 253900K .......... .......... .......... .......... .......... 96% 128M 1s 253950K .......... .......... .......... .......... .......... 96% 694K 1s 254000K .......... .......... .......... .......... .......... 96% 40.0M 1s 254050K .......... .......... .......... .......... .......... 96% 49.0M 1s 254100K .......... .......... .......... .......... .......... 96% 51.8M 1s 254150K .......... .......... .......... .......... .......... 96% 63.6M 1s 254200K .......... .......... .......... .......... .......... 96% 60.9M 1s 254250K .......... .......... .......... .......... .......... 96% 50.6M 1s 254300K .......... .......... .......... .......... .......... 96% 47.6M 1s 254350K .......... .......... .......... .......... .......... 96% 37.3M 1s 254400K .......... .......... .......... .......... .......... 96% 56.8M 1s 254450K .......... .......... .......... .......... .......... 96% 46.6M 1s 254500K .......... .......... .......... .......... .......... 96% 64.8M 1s 254550K .......... .......... .......... .......... .......... 96% 68.9M 1s 254600K .......... .......... .......... .......... .......... 96% 42.6M 1s 254650K .......... .......... .......... .......... .......... 96% 32.3M 1s 254700K .......... .......... .......... .......... .......... 96% 55.7M 1s 254750K .......... .......... .......... .......... .......... 96% 53.2M 1s 254800K .......... .......... .......... .......... .......... 96% 46.6M 1s 254850K .......... .......... .......... .......... .......... 96% 47.0M 1s 254900K .......... .......... .......... .......... .......... 96% 56.8M 1s 254950K .......... .......... .......... .......... .......... 96% 47.8M 1s 255000K .......... .......... .......... .......... .......... 96% 44.9M 1s 255050K .......... .......... .......... .......... .......... 96% 52.7M 1s 255100K .......... .......... .......... .......... .......... 96% 55.2M 1s 255150K .......... .......... .......... .......... .......... 96% 76.8M 1s 255200K .......... .......... .......... .......... .......... 96% 51.9M 1s 255250K .......... .......... .......... .......... .......... 96% 47.2M 1s 255300K .......... .......... .......... .......... .......... 96% 47.1M 1s 255350K .......... .......... .......... .......... .......... 96% 40.7M 1s 255400K .......... .......... .......... .......... .......... 96% 41.8M 1s 255450K .......... .......... .......... .......... .......... 96% 16.4M 1s 255500K .......... .......... .......... .......... .......... 96% 89.9M 1s 255550K .......... .......... .......... .......... .......... 96% 57.6M 1s 255600K .......... .......... .......... .......... .......... 96% 38.3M 1s 255650K .......... .......... .......... .......... .......... 96% 45.0M 1s 255700K .......... .......... .......... .......... .......... 96% 23.7M 1s 255750K .......... .......... .......... .......... .......... 96% 31.4M 1s 255800K .......... .......... .......... .......... .......... 97% 30.4M 1s 255850K .......... .......... .......... .......... .......... 97% 36.5M 1s 255900K .......... .......... .......... .......... .......... 97% 40.0M 1s 255950K .......... .......... .......... .......... .......... 97% 40.3M 1s 256000K .......... .......... .......... .......... .......... 97% 198K 1s 256050K .......... .......... .......... .......... .......... 97% 173M 1s 256100K .......... .......... .......... .......... .......... 97% 95.7M 1s 256150K .......... .......... .......... .......... .......... 97% 140M 1s 256200K .......... .......... .......... .......... .......... 97% 130M 1s 256250K .......... .......... .......... .......... .......... 97% 111M 1s 256300K .......... .......... .......... .......... .......... 97% 143M 1s 256350K .......... .......... .......... .......... .......... 97% 105M 1s 256400K .......... .......... .......... .......... .......... 97% 121M 1s 256450K .......... .......... .......... .......... .......... 97% 118M 1s 256500K .......... .......... .......... .......... .......... 97% 51.6M 1s 256550K .......... .......... .......... .......... .......... 97% 40.3M 1s 256600K .......... .......... .......... .......... .......... 97% 41.0M 1s 256650K .......... .......... .......... .......... .......... 97% 108M 1s 256700K .......... .......... .......... .......... .......... 97% 32.5M 1s 256750K .......... .......... .......... .......... .......... 97% 51.1M 1s 256800K .......... .......... .......... .......... .......... 97% 58.2M 1s 256850K .......... .......... .......... .......... .......... 97% 43.9M 1s 256900K .......... .......... .......... .......... .......... 97% 52.7M 1s 256950K .......... .......... .......... .......... .......... 97% 50.5M 1s 257000K .......... .......... .......... .......... .......... 97% 141K 1s 257050K .......... .......... .......... .......... .......... 97% 170M 1s 257100K .......... .......... .......... .......... .......... 97% 103M 1s 257150K .......... .......... .......... .......... .......... 97% 118M 1s 257200K .......... .......... .......... .......... .......... 97% 128M 1s 257250K .......... .......... .......... .......... .......... 97% 123M 1s 257300K .......... .......... .......... .......... .......... 97% 127M 1s 257350K .......... .......... .......... .......... .......... 97% 105M 1s 257400K .......... .......... .......... .......... .......... 97% 142M 1s 257450K .......... .......... .......... .......... .......... 97% 16.3M 1s 257500K .......... .......... .......... .......... .......... 97% 102M 1s 257550K .......... .......... .......... .......... .......... 97% 125M 1s 257600K .......... .......... .......... .......... .......... 97% 31.5M 1s 257650K .......... .......... .......... .......... .......... 97% 34.0M 1s 257700K .......... .......... .......... .......... .......... 97% 42.8M 1s 257750K .......... .......... .......... .......... .......... 97% 30.4M 1s 257800K .......... .......... .......... .......... .......... 97% 38.0M 1s 257850K .......... .......... .......... .......... .......... 97% 40.3M 1s 257900K .......... .......... .......... .......... .......... 97% 33.9M 1s 257950K .......... .......... .......... .......... .......... 97% 31.0M 1s 258000K .......... .......... .......... .......... .......... 97% 40.3M 1s 258050K .......... .......... .......... .......... .......... 97% 216K 1s 258100K .......... .......... .......... .......... .......... 97% 143M 1s 258150K .......... .......... .......... .......... .......... 97% 137M 1s 258200K .......... .......... .......... .......... .......... 97% 111M 1s 258250K .......... .......... .......... .......... .......... 97% 167M 1s 258300K .......... .......... .......... .......... .......... 97% 83.3M 1s 258350K .......... .......... .......... .......... .......... 97% 146M 1s 258400K .......... .......... .......... .......... .......... 98% 141M 1s 258450K .......... .......... .......... .......... .......... 98% 105M 1s 258500K .......... .......... .......... .......... .......... 98% 102M 1s 258550K .......... .......... .......... .......... .......... 98% 145M 1s 258600K .......... .......... .......... .......... .......... 98% 28.9M 1s 258650K .......... .......... .......... .......... .......... 98% 120M 1s 258700K .......... .......... .......... .......... .......... 98% 26.0M 1s 258750K .......... .......... .......... .......... .......... 98% 30.8M 1s 258800K .......... .......... .......... .......... .......... 98% 89.4M 1s 258850K .......... .......... .......... .......... .......... 98% 30.6M 1s 258900K .......... .......... .......... .......... .......... 98% 57.0M 1s 258950K .......... .......... .......... .......... .......... 98% 48.2M 1s 259000K .......... .......... .......... .......... .......... 98% 53.2M 1s 259050K .......... .......... .......... .......... .......... 98% 856K 1s 259100K .......... .......... .......... .......... .......... 98% 37.1M 1s 259150K .......... .......... .......... .......... .......... 98% 56.6M 1s 259200K .......... .......... .......... .......... .......... 98% 78.8M 1s 259250K .......... .......... .......... .......... .......... 98% 49.3M 1s 259300K .......... .......... .......... .......... .......... 98% 112M 1s 259350K .......... .......... .......... .......... .......... 98% 43.0M 1s 259400K .......... .......... .......... .......... .......... 98% 62.8M 1s 259450K .......... .......... .......... .......... .......... 98% 18.4M 1s 259500K .......... .......... .......... .......... .......... 98% 71.2M 1s 259550K .......... .......... .......... .......... .......... 98% 55.4M 1s 259600K .......... .......... .......... .......... .......... 98% 30.7M 1s 259650K .......... .......... .......... .......... .......... 98% 117M 1s 259700K .......... .......... .......... .......... .......... 98% 42.1M 1s 259750K .......... .......... .......... .......... .......... 98% 36.0M 1s 259800K .......... .......... .......... .......... .......... 98% 34.8M 1s 259850K .......... .......... .......... .......... .......... 98% 42.3M 1s 259900K .......... .......... .......... .......... .......... 98% 82.3M 1s 259950K .......... .......... .......... .......... .......... 98% 36.1M 1s 260000K .......... .......... .......... .......... .......... 98% 34.9M 1s 260050K .......... .......... .......... .......... .......... 98% 95.4M 1s 260100K .......... .......... .......... .......... .......... 98% 164K 1s 260150K .......... .......... .......... .......... .......... 98% 158M 1s 260200K .......... .......... .......... .......... .......... 98% 176M 1s 260250K .......... .......... .......... .......... .......... 98% 132M 1s 260300K .......... .......... .......... .......... .......... 98% 163M 1s 260350K .......... .......... .......... .......... .......... 98% 112M 0s 260400K .......... .......... .......... .......... .......... 98% 151M 0s 260450K .......... .......... .......... .......... .......... 98% 95.7M 0s 260500K .......... .......... .......... .......... .......... 98% 130M 0s 260550K .......... .......... .......... .......... .......... 98% 176M 0s 260600K .......... .......... .......... .......... .......... 98% 81.1M 0s 260650K .......... .......... .......... .......... .......... 98% 118M 0s 260700K .......... .......... .......... .......... .......... 98% 34.8M 0s 260750K .......... .......... .......... .......... .......... 98% 44.6M 0s 260800K .......... .......... .......... .......... .......... 98% 144M 0s 260850K .......... .......... .......... .......... .......... 98% 44.6M 0s 260900K .......... .......... .......... .......... .......... 98% 40.1M 0s 260950K .......... .......... .......... .......... .......... 98% 38.6M 0s 261000K .......... .......... .......... .......... .......... 98% 100M 0s 261050K .......... .......... .......... .......... .......... 99% 39.3M 0s 261100K .......... .......... .......... .......... .......... 99% 360K 0s 261150K .......... .......... .......... .......... .......... 99% 159M 0s 261200K .......... .......... .......... .......... .......... 99% 122M 0s 261250K .......... .......... .......... .......... .......... 99% 93.7M 0s 261300K .......... .......... .......... .......... .......... 99% 108M 0s 261350K .......... .......... .......... .......... .......... 99% 106M 0s 261400K .......... .......... .......... .......... .......... 99% 105M 0s 261450K .......... .......... .......... .......... .......... 99% 95.6M 0s 261500K .......... .......... .......... .......... .......... 99% 117M 0s 261550K .......... .......... .......... .......... .......... 99% 115M 0s 261600K .......... .......... .......... .......... .......... 99% 118M 0s 261650K .......... .......... .......... .......... .......... 99% 92.1M 0s 261700K .......... .......... .......... .......... .......... 99% 107M 0s 261750K .......... .......... .......... .......... .......... 99% 96.9M 0s 261800K .......... .......... .......... .......... .......... 99% 124M 0s 261850K .......... .......... .......... .......... .......... 99% 47.9M 0s 261900K .......... .......... .......... .......... .......... 99% 123M 0s 261950K .......... .......... .......... .......... .......... 99% 37.9M 0s 262000K .......... .......... .......... .......... .......... 99% 44.7M 0s 262050K .......... .......... .......... .......... .......... 99% 109M 0s 262100K .......... .......... .......... .......... .......... 99% 4.38M 0s 262150K .......... .......... .......... .......... .......... 99% 104M 0s 262200K .......... .......... .......... .......... .......... 99% 133M 0s 262250K .......... .......... .......... .......... .......... 99% 124M 0s 262300K .......... .......... .......... .......... .......... 99% 157M 0s 262350K .......... .......... .......... .......... .......... 99% 84.3M 0s 262400K .......... .......... .......... .......... .......... 99% 132M 0s 262450K .......... .......... .......... .......... .......... 99% 119M 0s 262500K .......... .......... .......... .......... .......... 99% 137M 0s 262550K .......... .......... .......... .......... .......... 99% 125M 0s 262600K .......... .......... .......... .......... .......... 99% 96.7M 0s 262650K .......... .......... .......... .......... .......... 99% 188M 0s 262700K .......... .......... .......... .......... .......... 99% 121M 0s 262750K .......... .......... .......... .......... .......... 99% 88.9M 0s 262800K .......... .......... .......... .......... .......... 99% 141M 0s 262850K .......... .......... .......... .......... .......... 99% 48.0M 0s 262900K .......... .......... .......... .......... .......... 99% 70.6M 0s 262950K .......... .......... .......... .......... .......... 99% 67.4M 0s 263000K .......... .......... .......... .......... .......... 99% 70.1M 0s 263050K .......... .......... .......... .......... .......... 99% 72.2M 0s 263100K .......... .......... .......... .......... .......... 99% 51.5M 0s 263150K .......... .......... .......... .......... .......... 99% 217K 0s 263200K .......... .......... .......... .......... .......... 99% 109M 0s 263250K .......... .......... .......... .......... .......... 99% 163M 0s 263300K .......... .......... .......... .......... .......... 99% 103M 0s 263350K .......... .......... .......... .......... .......... 99% 111M 0s 263400K .......... .......... .......... .......... .......... 99% 95.4M 0s 263450K .......... .......... .......... .......... .......... 99% 104M 0s 263500K .......... .......... .......... .......... .......... 99% 117M 0s 263550K .......... .......... .......... .......... .......... 99% 146M 0s 263600K .......... .......... .......... .......... .......... 99% 98.8M 0s 263650K .......... .......... .......... .......... .......... 99% 126M 0s 263700K .......... ......... 100% 116M=39s 2024-04-03 11:08:22 (6.56 MB/s) - ‘ESPbeta.tgz’ saved [270048523/270048523] + tar -xf ESPbeta.tgz + rm -rf EngSketchPad/data/Slugs + python --version Python 3.11.6 + [[ '' == *\.\i\f\o\r\t ]] + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/config/ + ./makeEnv ESP runtime AutoConfigurator CASROOT = /Users/jenkins/util/ESP/OpenCASCADE-7.8.0 with contents: bin include lib share Info: Python exec /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python found! Info: Python header /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11/Python.h found! Info: Python library /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib/libpython3.11.dylib found! ESP_ARCH = DARWIN64 ESP_ROOT = /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad EFCOMP = gfortran CARCH = DARWIN64 CASROOT = /Users/jenkins/util/ESP/OpenCASCADE-7.8.0 CASARCH = . CASREV = 7.8 PYTHONINC= /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 PYTHONLIB= -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 + source /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/ESPenv.sh ++ export ESP_ARCH=DARWIN64 ++ ESP_ARCH=DARWIN64 ++ export ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad ++ ESP_ROOT=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad ++ export CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 ++ CASROOT=/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 ++ export CASARCH=. ++ CASARCH=. ++ export CASREV=7.8 ++ CASREV=7.8 ++ export PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/test:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP/DARWIN64/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/lib/perl/bin:/Users/jenkins/util/tsfoil:/Users/jenkins/util/refine/build_parallel/install/bin:/Users/jenkins/util/delaundo/delaundo/src:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin:/Users/jenkins/util/cart3d/cart3d_v1.5.9_OSX64_ICC--22.11.16/bin/OSX64_ICC/:/Users/jenkins/util/astros/Astros/Darwin-64bit:/usr/local/opt/python/libexec/bin:/usr/local/bin:/opt/intel/oneapi/dev-utilities/2021.3.0/bin:/opt/intel/oneapi/compiler/2021.3.0/mac/bin/intel64:/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/bin/:/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONINC=/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 ++ PYTHONINC=/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 ++ export 'PYTHONLIB=-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11' ++ PYTHONLIB='-L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11' ++ export PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/pyESP:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/pyESP:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/CAPS/pyCAPS:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/OpenCSM/trunk/pyOCSM:/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EGADS/trunk/pyEGADS::/Users/jenkins/util/SU2/SU2-v8.0.0/bin ++ export EFCOMP=gfortran ++ EFCOMP=gfortran ++ export AFLR_ARCH=MacOSX-x86-64 ++ AFLR_ARCH=MacOSX-x86-64 ++ export UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src/CAPS/udunits/udunits2.xml ++ UDUNITS2_XML_PATH=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src/CAPS/udunits/udunits2.xml ++ export CAPS_GLYPH= ++ CAPS_GLYPH= ++ export TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ TETGEN=/Users/jenkins/util/tetgen/tetgen1.6.0 ++ export 'SLUGS_START=open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/SLUGS/Slugs.html' ++ SLUGS_START='open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/SLUGS/Slugs.html' ++ export 'ESP_START=open -a /Applications/Safari.app;sleep 1;open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/ESP/ESP.html' ++ ESP_START='open -a /Applications/Safari.app;sleep 1;open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/ESP/ESP.html' ++ export 'WV_START=open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/wvClient/wv.html' ++ WV_START='open -a /Applications/Safari.app /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/wvClient/wv.html' + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src + make (cd EGADS/src; make) touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/DARWIN64 rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/DARWIN64 cp -p ../include/DARWIN64 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/DARWIN64 touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/STANALYZER.make rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/STANALYZER.make cp -p ../include/STANALYZER.make /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/STANALYZER.make touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads.h cp -p ../include/egads.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads_dot.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads_dot.h cp -p ../include/egads_dot.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads_dot.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads.inc rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads.inc cp -p ../include/egads.inc /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egads.inc touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsErrors.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsErrors.h cp -p ../include/egadsErrors.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsErrors.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsTypes.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsTypes.h cp -p ../include/egadsTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsTypes.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/emp.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/emp.h cp -p ../include/emp.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/emp.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/prm.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/prm.h cp -p ../include/prm.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/prm.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsserver.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsserver.h cp -p ../include/wsserver.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsserver.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsserver.inc rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsserver.inc cp -p ../include/wsserver.inc /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsserver.inc touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsss.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsss.h cp -p ../include/wsss.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/wsss.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsTris.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsTris.h cp -p egadsTris.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsTris.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsSplineFit.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsSplineFit.h cp -p egadsSplineFit.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsSplineFit.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsSplineVels.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsSplineVels.h cp -p egadsSplineVels.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsSplineVels.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsf90.inc rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsf90.inc cp -p ../include/egadsf90.inc /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egadsf90.inc mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealD.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/SurrealD.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealD_Lazy.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/SurrealD_Lazy.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealD_Trad.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/SurrealD_Trad.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealS.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/SurrealS.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealS_Lazy.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/SurrealS_Lazy.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/SurrealS_Trad.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/SurrealS_Trad.h mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal cp Surreal/always_inline.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/Surreal/always_inline.h clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsGeom.cpp -o ./egadsGeom.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsHLevel.cpp -o ./egadsHLevel.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsTopo.cpp -o ./egadsTopo.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsCopy.cpp -o ./egadsCopy.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsSpline.cpp -o ./egadsSpline.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsIO.cpp -o ./egadsIO.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsSplineFit.cpp -o ./egadsSplineFit.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsSkinning.cpp -o ./egadsSkinning.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include \ -I. egadsTessSens.cpp -o ./egadsTessSens.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 OCC/BRepLib_FuseEdges.cpp -o ./BRepLib_FuseEdges.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsBase.c -o ./egadsBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsMemory.c -o ./egadsMemory.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsAttrs.c -o ./egadsAttrs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsTess.c -o ./egadsTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsTessInp.c -o ./egadsTessInp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsTris.c -o ./egadsTris.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsQuads.c -o ./egadsQuads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsFit.c -o ./egadsFit.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsRobust.c -o ./egadsRobust.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsSBO.c -o ./egadsSBO.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap prmCfit.c -o ./prmCfit.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap prmGrid.c -o ./prmGrid.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap prmUV.c -o ./prmUV.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsExport.c -o ./egadsExport.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsEffect.c -o ./egadsEffect.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I../util \ -I../util/uvmap egadsSolids.c -o ./egadsSolids.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include ../util/emp.c \ -o ./emp.o clang++ -g -x c++ -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -IOCC -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I. -I../include \ ../util/evaluate.c -o ./evaluate.o clang++ -g -x c++ -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I. -I../include \ ../util/rational.c -o ./rational.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/inc -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/include/opencascade -I/Users/jenkins/util/ESP/OpenCASCADE-7.8.0 -I../include -I../util \ ../util/regQuads.c -o ./regQuads.o clang++ -g -o ./SurrealD1 -O -std=c++11 -I. ../util/SurrealD1_btest.cpp ./SurrealD1 SurrealD1_test_suite Complete! clang++ -g -o ./SurrealD4 -O -std=c++11 -I. ../util/SurrealD4_btest.cpp ./SurrealD4 SurrealD4_test_suite Complete! clang++ -g -o ./SurrealS1 -O -std=c++11 -I. ../util/SurrealS1_btest.cpp ./SurrealS1 SurrealS1_test_suite Complete! clang++ -g -o ./SurrealS4 -O -std=c++11 -I. ../util/SurrealS4_btest.cpp ./SurrealS4 SurrealS4_test_suite Complete! /Library/Developer/CommandLineTools/usr/bin/make -C ../util clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 uvmap/main/uvmap.c -Iuvmap \ -o ./uvmap.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/EG_uvmapFindUV.c -o ./EG_uvmapFindUV.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/EG_uvmapGen.c -o ./EG_uvmapGen.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/EG_uvmapStructFree.c -o ./EG_uvmapStructFree.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/EG_uvmapTest.c -o ./EG_uvmapTest.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/EG_uvmap_Read.c -o ./EG_uvmap_Read.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/EG_uvmap_Write.c -o ./EG_uvmap_Write.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_add.c -o ./uvmap_add.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_bnd_adj.c -o ./uvmap_bnd_adj.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_chk_area_uv.c -o ./uvmap_chk_area_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_chk_edge_ratio.c -o ./uvmap_chk_edge_ratio.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_cpu_message.c -o ./uvmap_cpu_message.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_find_uv.c -o ./uvmap_find_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_from_egads.c -o ./uvmap_from_egads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_gen.c -o ./uvmap_gen.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_gen_uv.c -o ./uvmap_gen_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_ibeibe.c -o ./uvmap_ibeibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_ibfibf.c -o ./uvmap_ibfibf.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_ibfin.c -o ./uvmap_ibfin.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_iccibe.c -o ./uvmap_iccibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_iccin.c -o ./uvmap_iccin.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_idibe.c -o ./uvmap_idibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_inibe.c -o ./uvmap_inibe.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_inl_uv_bnd.c -o ./uvmap_inl_uv_bnd.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_malloc.c -o ./uvmap_malloc.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_mben_disc.c -o ./uvmap_mben_disc.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_message.c -o ./uvmap_message.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_norm_uv.c -o ./uvmap_norm_uv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_read.c -o ./uvmap_read.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_solve.c -o ./uvmap_solve.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_struct_tasks.c -o ./uvmap_struct_tasks.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_test.c -o ./uvmap_test.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_to_egads.c -o ./uvmap_to_egads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_version.c -o ./uvmap_version.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. uvmap/uvmap_write.c -o ./uvmap_write.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 egadsUVmap.c -Iuvmap \ -o ./egadsUVmap.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libuvmap.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libuvmap.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libuvmap.a ./egadsUVmap.o EG_uvmapFindUV.o EG_uvmapGen.o EG_uvmapStructFree.o EG_uvmapTest.o EG_uvmap_Read.o EG_uvmap_Write.o uvmap_add.o uvmap_bnd_adj.o uvmap_chk_area_uv.o uvmap_chk_edge_ratio.o uvmap_cpu_message.o uvmap_find_uv.o uvmap_from_egads.o uvmap_gen.o uvmap_gen_uv.o uvmap_ibeibe.o uvmap_ibfibf.o uvmap_ibfin.o uvmap_iccibe.o uvmap_iccin.o uvmap_idibe.o uvmap_inibe.o uvmap_inl_uv_bnd.o uvmap_malloc.o uvmap_mben_disc.o uvmap_message.o uvmap_norm_uv.o uvmap_read.o uvmap_solve.o uvmap_struct_tasks.o uvmap_test.o uvmap_to_egads.o uvmap_version.o uvmap_write.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libuvmap.a clang -g -o ./uvmap.exe ./uvmap.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -luvmap -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegads.dylib rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegads.dylib (cd .; clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegads.dylib egadsGeom.o egadsHLevel.o egadsTopo.o egadsCopy.o egadsSpline.o egadsIO.o egadsSplineFit.o egadsSkinning.o egadsTessSens.o BRepLib_FuseEdges.o \ egadsBase.o egadsMemory.o egadsAttrs.o egadsTess.o egadsTessInp.o egadsTris.o egadsQuads.o egadsFit.o egadsRobust.o egadsSBO.o prmCfit.o prmGrid.o prmUV.o egadsExport.o egadsEffect.o egadsSolids.o emp.o evaluate.o rational.o regQuads.o \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -L/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -luvmap -lTKBool -lTKernel -lTKFeat -lTKBO -lTKGeomAlgo -lTKMath -lTKOffset -lTKPrim -lTKTopAlgo -lTKBRep -lTKG2d -lTKG3d -lTKGeomBase -lTKShHealing -lTKDESTEP -lTKDEIGES -lTKXSBase -lTKFillet -lc++ \ -install_name '@rpath/libegads.dylib' \ -compatibility_version 7.8 \ -current_version 1.0.25 ) touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadstatic.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadstatic.a cp /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libuvmap.a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadstatic.a (cd .; \ ar rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadstatic.a egadsBase.o egadsMemory.o egadsAttrs.o egadsTess.o egadsTessInp.o egadsTris.o egadsQuads.o egadsFit.o egadsRobust.o egadsSBO.o prmCfit.o prmGrid.o prmUV.o egadsExport.o egadsEffect.o egadsSolids.o egadsGeom.o egadsHLevel.o egadsTopo.o egadsCopy.o egadsSpline.o egadsIO.o egadsSplineFit.o egadsSkinning.o egadsTessSens.o BRepLib_FuseEdges.o emp.o \ evaluate.o rational.o regQuads.o; ) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsBase.c -o ./fgadsBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsMemory.c -o ./fgadsMemory.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsAttrs.c -o ./fgadsAttrs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsTess.c -o ./fgadsTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsHLevel.c -o ./fgadsHLevel.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsGeom.c -o ./fgadsGeom.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include fgadsTopo.c -o ./fgadsTopo.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libfgads.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libfgads.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libfgads.a fgadsBase.o fgadsMemory.o fgadsAttrs.o fgadsTess.o fgadsHLevel.o fgadsGeom.o fgadsTopo.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libfgads.a touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libemp.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libemp.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libemp.a emp.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libemp.a *** EGADS Build Complete! *** (cd EGADS/lite; make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteBase.c -o ./liteBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteMemory.c -o ./liteMemory.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteGeom.c -o ./liteGeom.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteTopo.c -o ./liteTopo.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteAttrs.c -o ./liteAttrs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteImport.c -o ./liteImport.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src -I../util/uvmap \ liteString.c -o ./liteString.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I. -I../src \ ../src/egadsTess.c -o ./liteTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I. -I../src \ ../src/egadsTris.c -o ./liteTris.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I. -I../src \ ../src/egadsQuads.c -o ./liteQuads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I. -I../src \ -I../util ../src/egadsTessInp.c -o ./liteTessInp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 ../src/egadsRobust.c \ -o ./egadsRobust.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DDARWIN64 -I../include ../util/emp.c \ -o ./emp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I. -I../src \ ../util/evaluate.c -o ./evaLite.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE ../util/rational.c \ -o ./ratLite.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I../util \ ../util/regQuads.c -o ./liteRegQuads.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I. -I../src \ ../src/egadsEffect.c -o ./liteEffect.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DLITE -I../include -I. -I../src \ -I../util/uvmap liteUVmap.c -o ./liteUVmap.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslite.dylib rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslite.dylib (cd .; clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslite.dylib \ liteBase.o liteMemory.o liteGeom.o liteTopo.o liteAttrs.o liteImport.o liteString.o liteTess.o liteTris.o liteQuads.o liteTessInp.o \ egadsRobust.o emp.o evaLite.o ratLite.o liteRegQuads.o \ liteEffect.o liteUVmap.o \ -install_name '@rpath/libegadslite.dylib' \ -current_version 1.0.25 ) touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslitestatic.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslitestatic.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslitestatic.a liteBase.o liteMemory.o liteGeom.o liteTopo.o liteAttrs.o liteImport.o liteString.o \ liteTess.o liteTris.o liteQuads.o liteTessInp.o egadsRobust.o \ emp.o evaLite.o ratLite.o liteRegQuads.o liteEffect.o \ liteUVmap.o ; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libegadslitestatic.a (cd wvServer; make) clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 map.cpp -o ./map.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include base64-decode.c -o ./base64-decode.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include handshake.c -o ./handshake.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include client-handshake.c -o ./client-handshake.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include libwebsockets.c -o ./libwebsockets.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include extension-deflate-stream.c -o ./extension-deflate-stream.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include md5.c -o ./md5.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include extension-x-google-mux.c -o ./extension-x-google-mux.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include parsers.c -o ./parsers.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include extension.c -o ./extension.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include sha-1.c -o ./sha-1.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include server.c -o ./server.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include wv.c -o ./wv.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include browserMessage.c -o ./browserMessage.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include fwv.c -o ./fwv.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.a (cd .; ar rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.a map.o base64-decode.o handshake.o client-handshake.o libwebsockets.o extension-deflate-stream.o md5.o extension-x-google-mux.o parsers.o extension.o sha-1.o server.o wv.o browserMessage.o \ ./fwv.o; ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.a clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DLWS_NO_FORK -DSTANDALONE server.c \ -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -o ./servertest.o clang++ -g -o ./server ./servertest.o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.a \ -lpthread -lz -lm touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.dylib rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.dylib (cd .; clang++ -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libwsserver.dylib \ base64-decode.o handshake.o client-handshake.o libwebsockets.o extension-deflate-stream.o md5.o extension-x-google-mux.o parsers.o extension.o sha-1.o server.o wv.o browserMessage.o map.o -lz \ -install_name '@rpath/libwsserver.dylib' \ -compatibility_version 7.8 \ -current_version 1.0.25 ) (cd EGADS/examples; make -f egads2cart.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include egads2cart.c \ -o ./egads2cart.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/egads2cart ./egads2cart.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -legads \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm (cd EGADS/examples; make -f vCurvature.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include vCurvature.c -o ./vCurvature.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/vCurvature ./vCurvature.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lwsserver -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib (cd EGADS/examples; make -f vGeom.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include vGeom.c -o ./vGeom.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/vGeom ./vGeom.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lwsserver -legads \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib (cd EGADS/examples; make -f vTess.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include vTess.c -o ./vTess.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include ../util/retessFaces.c \ -o ./retessFaces.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/vTesstatic ./vTess.o ./retessFaces.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lwsserver -legadstatic -L/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lTKBool -lTKernel -lTKFeat -lTKBO -lTKGeomAlgo -lTKMath -lTKOffset -lTKPrim -lTKTopAlgo -lTKBRep -lTKG2d -lTKG3d -lTKGeomBase -lTKShHealing -lTKDESTEP -lTKDEIGES -lTKXSBase -lTKFillet \ -lpthread -lz -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/vTess ./vTess.o ./retessFaces.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lwsserver -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib (cd OpenCSM; make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. serveCSM.c -o ./serveCSM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. OpenCSM.c -o ./OpenCSM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udp.c -o ./udp.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. tim.c -o ./tim.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libocsm.dylib rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libocsm.dylib clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libocsm.dylib ./OpenCSM.o ./udp.o ./tim.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -legads -lwsserver -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -install_name '@rpath/libocsm.dylib' -compatibility_version 1.0.25 -current_version 1.0.25 clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/serveCSM ./serveCSM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. serveESP.c -o ./serveESP.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/serveESP ./serveESP.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. sensCSM.c -o ./sensCSM.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/sensCSM ./sensCSM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpBezier.c -o ./udpBezier.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/bezier.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/bezier.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/bezier.so ./udpBezier.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpBiconvex.c -o ./udpBiconvex.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/biconvex.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/biconvex.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/biconvex.so ./udpBiconvex.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpBox.c -o ./udpBox.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/box.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/box.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/box.so ./udpBox.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpBspline.c -o ./udpBspline.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/bspline.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/bspline.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/bspline.so ./udpBspline.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfCatmull.c -o ./udfCatmull.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/catmull.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/catmull.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/catmull.so ./udfCatmull.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfCompare.c -o ./udfCompare.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/compare.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/compare.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/compare.so ./udfCompare.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfCreateBEM.c -o ./udfCreateBEM.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/createBEM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/createBEM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/createBEM.so ./udfCreateBEM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfCreatePoly.c -o ./udfCreatePoly.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/createPoly.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/createPoly.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/createPoly.so ./udfCreatePoly.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpCsm.c -o ./udpCsm.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/csm.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/csm.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/csm.so ./udpCsm.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfDeform.c -o ./udfDeform.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/deform.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/deform.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/deform.so ./udfDeform.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfDroop.c -o ./udfDroop.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/droop.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/droop.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/droop.so ./udfDroop.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfDumpPmtrs.c -o ./udfDumpPmtrs.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/dumpPmtrs.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/dumpPmtrs.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/dumpPmtrs.so ./udfDumpPmtrs.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfEditAttr.c -o ./udfEditAttr.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/editAttr.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/editAttr.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/editAttr.so ./udfEditAttr.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpEllipse.c -o ./udpEllipse.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ellipse.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ellipse.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ellipse.so ./udpEllipse.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpEqn2body.c -o ./udpEqn2body.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/eqn2body.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/eqn2body.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/eqn2body.so ./udpEqn2body.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpFitcurve.c -o ./udpFitcurve.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/fitcurve.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/fitcurve.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/fitcurve.so ./udpFitcurve.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfFlend.c -o ./udfFlend.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/flend.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/flend.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/flend.so ./udfFlend.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpFreeform.c -o ./udpFreeform.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/freeform.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/freeform.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/freeform.so ./udpFreeform.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfGanged.c -o ./udfGanged.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ganged.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ganged.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ganged.so ./udfGanged.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfGuide.c -o ./udfGuide.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/guide.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/guide.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/guide.so ./udfGuide.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpHex.c -o ./udpHex.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/hex.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/hex.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/hex.so ./udpHex.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpImport.c -o ./udpImport.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/import.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/import.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/import.so ./udpImport.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpKulfan.c -o ./udpKulfan.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/kulfan.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/kulfan.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/kulfan.so ./udpKulfan.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfLinalg.c -o ./udfLinalg.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/linalg.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/linalg.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/linalg.so ./udfLinalg.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfMatchBodys.c -o ./udfMatchBodys.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/matchBodys.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/matchBodys.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/matchBodys.so ./udfMatchBodys.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfMechanism.c -o ./udfMechanism.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mechanism.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mechanism.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mechanism.so ./udfMechanism.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpNaca.c -o ./udpNaca.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca.so ./udpNaca.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfNaca6mc.c -o ./udfNaca6mc.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca6mc.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca6mc.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca6mc.so ./udfNaca6mc.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfNacelle.c -o ./udfNacelle.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nacelle.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nacelle.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nacelle.so ./udfNacelle.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpNurbbody.c -o ./udpNurbbody.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nurbbody.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nurbbody.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nurbbody.so ./udpNurbbody.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfNuscale.c -o ./udfNuscale.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nuscale.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nuscale.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nuscale.so ./udfNuscale.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfOffset.c -o ./udfOffset.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/offset.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/offset.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/offset.so ./udfOffset.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpParabaloid.c -o ./udpParabaloid.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/parabaloid.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/parabaloid.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/parabaloid.so ./udpParabaloid.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpParsec.cpp -o ./udpParsec.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/parsec.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/parsec.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/parsec.so ./udpParsec.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpPod.c -o ./udpPod.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pod.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pod.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pod.so ./udpPod.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpPoly.c -o ./udpPoly.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/poly.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/poly.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/poly.so ./udpPoly.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfPrintBbox.c -o ./udfPrintBbox.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printBbox.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printBbox.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printBbox.so ./udfPrintBbox.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfPrintBrep.c -o ./udfPrintBrep.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printBrep.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printBrep.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printBrep.so ./udfPrintBrep.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfPrintEgo.c -o ./udfPrintEgo.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printEgo.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printEgo.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/printEgo.so ./udfPrintEgo.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpProp.c -o ./udpProp.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/prop.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/prop.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/prop.so ./udpProp.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpRadwaf.c -o ./udpRadwaf.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/radwaf.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/radwaf.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/radwaf.so ./udpRadwaf.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpSample.c -o ./udpSample.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sample.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sample.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sample.so ./udpSample.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpSew.c -o ./udpSew.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sew.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sew.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sew.so ./udpSew.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfShadow.c -o ./udfShadow.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/shadow.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/shadow.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/shadow.so ./udfShadow.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfSlices.c -o ./udfSlices.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/slices.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/slices.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/slices.so ./udfSlices.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpStag.c -o ./udpStag.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/stag.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/stag.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/stag.so ./udpStag.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfStiffener.c -o ./udfStiffener.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/stiffener.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/stiffener.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/stiffener.so ./udfStiffener.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpSupell.c -o ./udpSupell.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/supell.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/supell.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/supell.so ./udpSupell.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udfTester1.c -o ./udfTester1.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tester1.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tester1.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tester1.so ./udfTester1.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpTester2.c -o ./udpTester2.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tester2.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tester2.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tester2.so ./udpTester2.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpVsp3.c -o ./udpVsp3.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/vsp3.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/vsp3.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/vsp3.so ./udpVsp3.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpWaffle.c -o ./udpWaffle.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/waffle.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/waffle.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/waffle.so ./udpWaffle.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpWarp.c -o ./udpWarp.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/warp.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/warp.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/warp.so ./udpWarp.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. eggPDT2.c -o ./eggPDT2.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/PDT2.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/PDT2.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/PDT2.so ./eggPDT2.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -legads -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. udpNaca456.c -o ./udpNaca456.o gfortran -g -c -O -frecursive -fcray-pointer naca456.f90 -o ./naca456.o -J . touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca456.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca456.so gfortran -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/naca456.so ./udpNaca456.o ./naca456.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads -lm -Wl,-w clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timEreped.c -o ./timEreped.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ereped.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ereped.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ereped.so ./timEreped.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timMitten.c -o ./timMitten.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mitten.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mitten.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mitten.so ./timMitten.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timPlotter.c -o ./timPlotter.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/plotter.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/plotter.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/plotter.so ./timPlotter.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timPlugs.c -o ./timPlugs.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/plugs.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/plugs.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/plugs.so ./timPlugs.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timSlugs.c -o ./timSlugs.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/slugs.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/slugs.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/slugs.so ./timSlugs.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timVspSetup.c -o ./timVspSetup.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/vspSetup.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/vspSetup.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/vspSetup.so ./timVspSetup.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/OpenCSM.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/OpenCSM.h cp -p OpenCSM.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/OpenCSM.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/common.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/common.h cp -p common.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/common.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udp.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udp.h cp -p udp.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udp.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/esp.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/esp.h cp -p esp.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/esp.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/tim.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/tim.h cp -p tim.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/tim.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egg.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egg.h cp -p egg.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/egg.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udpUtilities.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udpUtilities.h cp -p udpUtilities.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udpUtilities.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udpUtilities.c rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udpUtilities.c cp -p udpUtilities.c /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/udpUtilities.c (cd Slugs; make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. Slugs.c -o ./Slugs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. Fitter.c \ -o ./Fitter.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. RedBlackTree.c \ -o ./RedBlackTree.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. Tessellate.c \ -o ./Tessellate.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/Slugs ./Slugs.o ./Fitter.o ./RedBlackTree.o \ ./Tessellate.o -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lwsserver -legads \ -lpthread -lz -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. TestFit.c -o ./TestFit.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/TestFit ./TestFit.o ./Fitter.o -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -legads \ -lpthread -lz -lm (cd CAPS; make) /bin/cp Cart3D/OSX64_CLANG/libc3dio.a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libc3dio.a /bin/cp Cart3D/OSX64_CLANG/libCart3D.a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libCart3D.a /bin/cp Executables/DARWIN64/avl /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/avl /bin/cp Executables/DARWIN64/pplot /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/pplot /bin/cp Executables/DARWIN64/pxplot /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/pxplot /bin/cp Executables/DARWIN64/xfoil /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/xfoil /bin/cp Executables/DARWIN64/mastros.exe /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/mastros.exe /bin/cp Executables/DARWIN64/ASTRO.D01 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/ASTRO.D01 /bin/cp Executables/DARWIN64/ASTRO.IDX /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/ASTRO.IDX /bin/cp Executables/DARWIN64/mses /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/mses /bin/cp Executables/DARWIN64/mset /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/mset /bin/cp udunits/include/*.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include /bin/cp udunits/DARWIN64/libudunits2.0.dylib /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib /bin/ln -sf libudunits2.0.dylib /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libudunits2.dylib /bin/cp Libraries/DARWIN64/aflr2AIM.so /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/aflr2AIM.so /bin/cp Libraries/DARWIN64/aflr3AIM.so /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/aflr3AIM.so /bin/cp Libraries/DARWIN64/aflr4AIM.so /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/aflr4AIM.so (cd CAPS/src; make) touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/caps.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/caps.h cp -p ../include/caps.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/caps.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/capsErrors.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/capsErrors.h cp -p ../include/capsErrors.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/capsErrors.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/capsTypes.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/capsTypes.h cp -p ../include/capsTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/capsTypes.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aimUtil.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aimUtil.h cp -p ../include/aimUtil.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aimUtil.h touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aimMesh.h rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aimMesh.h cp -p ../include/aimMesh.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aimMesh.h clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../include \ -I/usr/include/udunits2 aimUtil.c -o ./aimUtil.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include \ -I/usr/include/udunits2 aimTransferUtil.c \ -o ./aimTransferUtil.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../include \ aimMesh.c -o ./aimMesh.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libaimUtil.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libaimUtil.a ar -rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libaimUtil.a ./aimUtil.o ./aimMesh.o \ ./aimTransferUtil.o ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libaimUtil.a clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsBase.c -o ./capsBase.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAnalysis.c -o ./capsAnalysis.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsApprox.c -o ./capsApprox.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAttr.c -o ./capsAttr.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAIM.c -o ./capsAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsBound.c -o ./capsBound.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsProblem.c -o ./capsProblem.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsValue.c -o ./capsValue.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsUnits.c -o ./capsUnits.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 conjGrad.c -o ./conjGrad.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsFunIDs.c -o ./capsFunIDs.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsChkInpt.c -o ./capsChkInpt.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. \ -I/usr/include/udunits2 printObject.c -o ./printObject.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcaps.dylib rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcaps.dylib (cd .; clang -g -dynamiclib -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcaps.dylib capsBase.o capsAnalysis.o capsApprox.o capsAttr.o capsAIM.o capsBound.o capsProblem.o capsValue.o capsUnits.o conjGrad.o capsFunIDs.o capsChkInpt.o printObject.o \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -ludunits2 -locsm -legads -ldl \ -install_name '@rpath/libcaps.dylib' \ -compatibility_version 1.0.25 \ -current_version 1.0.25 ) touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcapsstatic.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcapsstatic.a (cd .; ar -rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcapsstatic.a capsBase.o capsAnalysis.o capsApprox.o capsAttr.o capsAIM.o capsBound.o capsProblem.o capsValue.o capsUnits.o conjGrad.o capsFunIDs.o capsChkInpt.o printObject.o ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libcapsstatic.a clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include testingAIM.c \ -o ./testingAIM.o rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingAIM.so rm: /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingAIM.so: No such file or directory make[1]: [/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingAIM.so] Error 1 (ignored) clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingAIM.so ./testingAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include testingWriter.c \ -o ./testingWriter.o rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingWriter.so rm: /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingWriter.so: No such file or directory make[1]: [/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingWriter.so] Error 1 (ignored) clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/testingWriter.so ./testingWriter.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include phaseUtil.c \ -o ./phaseUtil.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/phaseUtil ./phaseUtil.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -ludunits2 -locsm -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm (cd ../CAPSexamples; make) (make -C cCAPS -f aeroelastic_SU2.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include aeroelasticSimple_Iterative_SU2_and_MystranTest.c -o ./aeroelasticSimple_Iterative_SU2_and_MystranTest.o clang -g -o ./aeroelasticSimple_Iterative_SU2_and_MystranTest ./aeroelasticSimple_Iterative_SU2_and_MystranTest.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f avlTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include avlTest.c -o ./avlTest.o clang -g -o ./avlTest ./avlTest.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f awaveTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include awaveTest.c -o ./awaveTest.o clang -g -o ./awaveTest ./awaveTest.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f frictionTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include frictionTest.c -o ./frictionTest.o clang -g -o ./frictionTest ./frictionTest.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f fun3d.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include fun3dAFLR2Test.c -o ./fun3dAFLR2Test.o clang -g -o ./fun3dAFLR2Test ./fun3dAFLR2Test.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include fun3dTetgenTest.c -o ./fun3dTetgenTest.o clang -g -o ./fun3dTetgenTest ./fun3dTetgenTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include aeroelasticTest.c -o ./aeroelasticTest.o clang -g -o ./aeroelasticTest ./aeroelasticTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f hsm.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include hsmTest.c -o ./hsmTest.o clang -g -o ./hsmTest ./hsmTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include hsmSimplePlateTest.c -o ./hsmSimplePlateTest.o clang -g -o ./hsmSimplePlateTest ./hsmSimplePlateTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include hsmCantileverPlateTest.c -o ./hsmCantileverPlateTest.o clang -g -o ./hsmCantileverPlateTest ./hsmCantileverPlateTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include hsmJoinedPlateTest.c -o ./hsmJoinedPlateTest.o clang -g -o ./hsmJoinedPlateTest ./hsmJoinedPlateTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f interferenceTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include interferenceTest.c \ -o ./interferenceTest.o clang -g -o ./interferenceTest ./interferenceTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f msesTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include msesTest.c -o ./msesTest.o clang -g -o ./msesTest ./msesTest.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f mystran.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include mystranTest.c -o ./mystranTest.o clang -g -o ./mystranTest ./mystranTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (make -C cCAPS -f pointwiseTest.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include pointwiseTest.c -o ./pointwiseTest.o clang -g -o ./pointwiseTest ./pointwiseTest.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl (cd CAPS/aim; make) /Library/Developer/CommandLineTools/usr/bin/make -C utils clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython attrUtils.c -o ./attrUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython meshUtils.c -o ./meshUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cfdUtils.c -o ./cfdUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython miscUtils.c -o ./miscUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython feaUtils.c -o ./feaUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython vlmUtils.c -o ./vlmUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython nastranUtils.c -o ./nastranUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython tecplotUtils.c -o ./tecplotUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython arrayUtils.c -o ./arrayUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython deprecateUtils.c -o ./deprecateUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cardUtils.c -o ./cardUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython nastranCards.c -o ./nastranCards.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython jsonUtils.c -o ./jsonUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cython/nastranOP2Reader.c -o ./nastranOP2Reader.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. vlmSpanSpace.cpp -o ./vlmSpanSpace.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libutils.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libutils.a (cd .; ar -rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libutils.a attrUtils.o meshUtils.o cfdUtils.o miscUtils.o feaUtils.o vlmUtils.o nastranUtils.o tecplotUtils.o arrayUtils.o deprecateUtils.o cardUtils.o nastranCards.o jsonUtils.o nastranOP2Reader.o vlmSpanSpace.o ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libutils.a /Library/Developer/CommandLineTools/usr/bin/ranlib: file: /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libutils.a(attrUtils.o) has no symbols mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils cp -p -f arrayUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/arrayUtils.h cp -p -f attrTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/attrTypes.h cp -p -f attrUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/attrUtils.h cp -p -f cardTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/cardTypes.h cp -p -f cardUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/cardUtils.h cp -p -f cfdTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/cfdTypes.h cp -p -f cfdUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/cfdUtils.h cp -p -f deprecateUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/deprecateUtils.h cp -p -f feaTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/feaTypes.h cp -p -f feaUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/feaUtils.h cp -p -f jsonUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/jsonUtils.h cp -p -f meshTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/meshTypes.h cp -p -f meshUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/meshUtils.h cp -p -f miscTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/miscTypes.h cp -p -f miscUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/miscUtils.h cp -p -f nastranCards.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/nastranCards.h cp -p -f nastranUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/nastranUtils.h cp -p -f tecplotUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/tecplotUtils.h cp -p -f vlmSpanSpace.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/vlmSpanSpace.h cp -p -f vlmTypes.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/vlmTypes.h cp -p -f vlmUtils.h /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/aim/utils/vlmUtils.h /Library/Developer/CommandLineTools/usr/bin/make -C abaqus clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils \ abaqusAIM.c -o ./abaqusAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DHAVE_PYTHON -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils \ abaqusUtils.c -o ./abaqusUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils \ -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 cython/abaqusFILReader.c -o ./abaqusFILReader.o echo "Building Abaqus with Python" Building Abaqus with Python clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/abaqusAIM.so ./abaqusAIM.o ./abaqusUtils.o ./abaqusFILReader.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lm -ldl -Wl,-rpath /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib /Library/Developer/CommandLineTools/usr/bin/make -C aflr2 Not compiling aflr2AIM: AFLR must be set -- Please fix the environment.... /Library/Developer/CommandLineTools/usr/bin/make -C aflr3 Not compiling aflr3AIM: AFLR must be set -- Please fix the environment.... /Library/Developer/CommandLineTools/usr/bin/make -C aflr4 Not compiling aflr4AIM: AFLR must be set -- Please fix the environment.... /Library/Developer/CommandLineTools/usr/bin/make -C astros clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils astrosAIM.c \ -o ./astrosAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils astrosUtils.c \ -o ./astrosUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils astrosCards.c \ -o ./astrosCards.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/astrosAIM.so ./astrosAIM.o ./astrosUtils.o ./astrosCards.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C avl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils avlAIM.c -o ./avlAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_BODY.c -o avlRead_BODY.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_CNC.c -o avlRead_CNC.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_CPOML.c -o avlRead_CPOML.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATB.c -o avlRead_DERMATB.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATM.c -o avlRead_DERMATM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATS.c -o avlRead_DERMATS.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_ELE.c -o avlRead_ELE.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_HINGE.c -o avlRead_HINGE.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_STRP.c -o avlRead_STRP.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_SURF.c -o avlRead_SURF.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_TOT.c -o avlRead_TOT.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -Wno-unused-function -Iavlmrf avlmrf/avlRead_VM.c -o avlRead_VM.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/avlAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/avlAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/avlAIM.so ./avlAIM.o ./avlRead_BODY.o ./avlRead_CNC.o ./avlRead_CPOML.o ./avlRead_DERMATB.o ./avlRead_DERMATM.o ./avlRead_DERMATS.o ./avlRead_ELE.o ./avlRead_HINGE.o ./avlRead_STRP.o ./avlRead_SURF.o ./avlRead_TOT.o ./avlRead_VM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -lutils -locsm -legads -ludunits2 -ldl \ -lc++ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C awave clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils awaveAIM.c \ -o ./awaveAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/awaveAIM.so ./awaveAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C cart3d clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DCAPS_MESHUTILS -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/libCart3D -I./xddm \ cart3dAIM.c -o ./cart3dAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/c3dio \ -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/libCart3D -I./xddm \ writeTrix.c -o ./writeTrix.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/c3dio \ -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/libCart3D \ surfTrix.c -o ./surfTrix.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -pedantic -I./xddm -I. \ `xml2-config --cflags` ./xddm/xddm.c \ -o ./xddm.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include bodyTess.c -o ./bodyTess.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/cart3dAIM.so ./cart3dAIM.o \ ./writeTrix.o ./surfTrix.o ./xddm.o ./bodyTess.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils \ -laimUtil -locsm -legads -ludunits2 -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/ \ -lc3dio -lCart3D -ldl -lxml2 -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include cart3dTest.c \ -o ./cart3dTest.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/cart3dTest ./cart3dTest.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -legads -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I./xddm \ -I. `xml2-config --cflags` ESPxddm.c -o ./ESPxddm.o clang -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/ESPxddm ./ESPxddm.o ./writeTrix.o \ ./surfTrix.o ./xddm.o ./bodyTess.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -locsm -legads \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include/ -lc3dio -lCart3D \ -lpthread -ldl -Wl,-rpath,/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -Wl,-rpath,@loader_path/ -Wl,-rpath,/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lxml2 -lm /Library/Developer/CommandLineTools/usr/bin/make -C cbaero clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I. -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/fastWriter cbaeroAIM.c -o cbaeroAIM.o clang -g -bundle cbaeroAIM.o -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/cbaeroAIM.so -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -lm -ldl /Library/Developer/CommandLineTools/usr/bin/make -C cgt clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include egads2cgt.c \ -o ./egads2cgt.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include aflr4egads.c \ -o ./aflr4egads.o clang++ -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/egads2cgt ./egads2cgt.o ./aflr4egads.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -legads -lcaps -locsm -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -ldl /Library/Developer/CommandLineTools/usr/bin/make -C custom make[2]: Nothing to be done for `default'. /Library/Developer/CommandLineTools/usr/bin/make -C delaundo clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils delaundoAIM.c \ -o ./delaundoAIM.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/delaundoAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/delaundoAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/delaundoAIM.so ./delaundoAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C egadsTess clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils egadsTessAIM.c \ -o ./egadsTessAIM.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/egadsTessAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/egadsTessAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/egadsTessAIM.so ./egadsTessAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C friction clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -Wno-format -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils frictionAIM.c \ -o ./frictionAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/frictionAIM.so ./frictionAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm gfortran -g -c -O -frecursive -fcray-pointer friction_eja_mod.f -o ./friction.o gfortran -g -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/friction ./friction.o -Wl,-w /Library/Developer/CommandLineTools/usr/bin/make -C fun3d clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DHAVE_PYTHON -DCYTHON_PEP489_MULTI_PHASE_INIT=0 \ -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/ugridWriter \ -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython fun3dAIM.c -o ./fun3dAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/ugridWriter \ fun3dUtils.c -o ./fun3dUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -DCYTHON_PEP489_MULTI_PHASE_INIT=0 \ -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils \ -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 cython/fun3dNamelist.c -o ./fun3dNamelist.o Building FUN3D with Python clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/fun3dAIM.so ./fun3dAIM.o ./fun3dUtils.o \ ./fun3dNamelist.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -ldl -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lm -Wl,-rpath /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib /Library/Developer/CommandLineTools/usr/bin/make -C hsm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils hsmAIM.c \ -o src/hsmAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils hsmUtils.c \ -o src/hsmUtils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils hsmAdj.c \ -o src/hsmAdj.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -Wno-comment rcm/rcm.cpp -DREVISION=7.8 \ -o src/rcm.o clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 rcm/genrcmi.cpp -DREVISION=7.8 \ -o src/genrcmi.o /Library/Developer/CommandLineTools/usr/bin/make -C src atanc.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero atanc.f -o ./atanc.o /Library/Developer/CommandLineTools/usr/bin/make -C src bmdump.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero bmdump.f -o ./bmdump.o /Library/Developer/CommandLineTools/usr/bin/make -C src cross.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero cross.f -o ./cross.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmabd.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmabd.f -o ./hsmabd.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmbb2.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmbb2.f -o ./hsmbb2.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmbc.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmbc.f -o ./hsmbc.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmdep.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmdep.f -o ./hsmdep.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmeqn.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmeqn.f -o ./hsmeqn.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmgeo.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmgeo.f -o ./hsmgeo.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmglr.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmglr.f -o ./hsmglr.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmout.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmout.f -o ./hsmout.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmprj.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmprj.f -o ./hsmprj.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmre1.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmre1.f -o ./hsmre1.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmren.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmren.f -o ./hsmren.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmrfm.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmrfm.f -o ./hsmrfm.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmrun.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero -fno-recursive hsmrun.f -o ./hsmrun.o /Library/Developer/CommandLineTools/usr/bin/make -C src hsmsol.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmsol.f -o ./hsmsol.o /Library/Developer/CommandLineTools/usr/bin/make -C src ludcmp.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero ludcmp.f -o ./ludcmp.o /Library/Developer/CommandLineTools/usr/bin/make -C src sbsolve.o gfortran -g -c -O -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero sbsolve.f -o ./sbsolve.o /Library/Developer/CommandLineTools/usr/bin/make -C src /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libhsm.a touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libhsm.a rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libhsm.a (cd .; ar -rs /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libhsm.a hsmsol.o hsmdep.o hsmout.o hsmgeo.o hsmabd.o hsmeqn.o hsmbc.o hsmprj.o hsmren.o hsmre1.o hsmrfm.o hsmbb2.o hsmglr.o ludcmp.o sbsolve.o atanc.o bmdump.o cross.o ) ar: creating archive /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libhsm.a touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/hsmAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/hsmAIM.so gfortran -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/hsmAIM.so \ src/hsmAIM.o src/hsmUtils.o src/hsmAdj.o \ src/rcm.o src/genrcmi.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -lhsm -ldl -Wl,-rpath,/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -Wl,-rpath,@loader_path/ -Wl,-rpath,/Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lc++ -Wl,-w /Library/Developer/CommandLineTools/usr/bin/make -C interference clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include interferenceAIM.c \ -o ./interferenceAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. cloudFns.c \ -o ./cloudFns.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/interferenceAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/interferenceAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/interferenceAIM.so \ ./interferenceAIM.o ./cloudFns.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lc++ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C masstran clang++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-write-strings -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils masstranAIM.cpp \ -o ./masstranAIM.o clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/masstranAIM.so ./masstranAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C meshWriter /Library/Developer/CommandLineTools/usr/bin/make -C exodusWriter clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -std=c++11 -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I/Users/jenkins/util/sandialabs/seacas/include -I. exodusWriter.cpp \ -o ./exodusWriter.o clang++ -g -bundle -std=c++11 -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/exodusWriter.so ./exodusWriter.o \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C fastWriter clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include fastWriter.c \ -o ./fastWriter.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/fastWriter.so ./fastWriter.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C libMeshbWriter clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include libMeshbWriter.c \ -o ./libMeshbWriter.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -IlibMeshb/sources -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include libMeshb/sources/libmeshb7.c \ -o ./libmeshb7.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/libMeshbWriter.so ./libMeshbWriter.o ./libmeshb7.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C su2Writer clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include su2Writer.c \ -o ./su2Writer.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/su2Writer.so ./su2Writer.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C ugridWriter clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include ugridWriter.c \ -o ./ugridWriter.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/ugridWriter.so ./ugridWriter.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C mses clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils msesAIM.c -o msesAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils msesUtils.c -o msesUtils.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/msesAIM.so ./msesAIM.o ./msesUtils.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C mystran clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils mystranAIM.c \ -o ./mystranAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils mystranUtils.c \ -o ./mystranUtils.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/mystranAIM.so ./mystranAIM.o ./mystranUtils.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C nastran clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils nastranAIM.c \ -o ./nastranAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/nastranAIM.so ./nastranAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C plato clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. platoAIM.c \ -o ./platoAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/platoAIM.so ./platoAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C pointwise clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils pointwiseAIM.c \ -o ./pointwiseAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils hashElement.c \ -o ./hashElement.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pointwiseAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pointwiseAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pointwiseAIM.so ./pointwiseAIM.o ./hashElement.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm -lpthread /Library/Developer/CommandLineTools/usr/bin/make -C refine clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils -I../meshWriter/libMeshbWriter refineAIM.c \ -o ./refineAIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I../include -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include \ -I../meshWriter/libMeshbWriter/libMeshb/sources \ ../meshWriter/libMeshbWriter/libMeshb/sources/libmeshb7.c \ -o ./libmeshb7.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/refineAIM.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/refineAIM.so clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/refineAIM.so ./refineAIM.o ./libmeshb7.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C sierraSD clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. sierraSDAIM.c \ -o ./sierraSDAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sierraSDAIM.so ./sierraSDAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C sierraSM clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. sierraSMAIM.c \ -o ./sierraSMAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/sierraSMAIM.so ./sierraSMAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C skeleton clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils skeletonAIM.c \ -o ./skeletonAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/skeletonAIM.so ./skeletonAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C spinnaker clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../meshWriter/exodusWriter -I../utils -I/Users/jenkins/util/sandialabs/seacas/include -I. spinnakerAIM.c \ -o ./spinnakerAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/spinnakerAIM.so ./spinnakerAIM.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib \ -L/Users/jenkins/util/sandialabs/seacas/lib -lexodus -Wl,-rpath /Users/jenkins/util/sandialabs/seacas/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C su2 clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../meshWriter/su2Writer -I../utils su2AIM.c \ -o ./su2AIM.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils su2Utils.c \ -o ./su2Utils.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils su2_4_Cardinal.c \ -o ./su2_4_Cardinal.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils su2_5_Raven.c \ -o ./su2_5_Raven.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils su2_6_Falcon.c \ -o ./su2_6_Falcon.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils su2_7_Blackbird.c \ -o ./su2_7_Blackbird.o clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils su2_8_Harrier.c \ -o ./su2_8_Harrier.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/su2AIM.so ./su2AIM.o ./su2Utils.o ./su2_4_Cardinal.o ./su2_5_Raven.o ./su2_6_Falcon.o ./su2_7_Blackbird.o ./su2_8_Harrier.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C tacs clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils tacsAIM.c \ -o ./tacsAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tacsAIM.so ./tacsAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 /Library/Developer/CommandLineTools/usr/bin/make -C tetgen clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils tetgenAIM.cpp \ -o ./tetgenAIM.o clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I/Users/jenkins/util/tetgen/tetgen1.6.0 -I../utils \ -DTETLIBRARY tetgen_Interface.cpp -o ./tetgen_Interface.o clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DTETLIBRARY -w /Users/jenkins/util/tetgen/tetgen1.6.0/tetgen.cxx -o ./tetgen.o clang++ -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -O0 -w /Users/jenkins/util/tetgen/tetgen1.6.0/predicates.cxx -o ./predicates.o clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tetgenAIM.so ./tetgenAIM.o \ ./tetgen_Interface.o ./tetgen.o \ ./predicates.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -lm /Library/Developer/CommandLineTools/usr/bin/make -C tsfoil clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils \ tsfoilAIM.c -o ./tsfoilAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/tsfoilAIM.so ./tsfoilAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm /Library/Developer/CommandLineTools/usr/bin/make -C unitTest make[2]: Nothing to be done for `all'. /Library/Developer/CommandLineTools/usr/bin/make -C xfoil clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I../utils \ xfoilAIM.c -o ./xfoilAIM.o clang -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/xfoilAIM.so ./xfoilAIM.o \ -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm (cd OpenCSM; make -f serveESP.make) clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timCapsMode.c -o ./timCapsMode.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/capsMode.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/capsMode.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/capsMode.so ./timCapsMode.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timFlowchart.c -o ./timFlowchart.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/flowchart.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/flowchart.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/flowchart.so ./timFlowchart.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. timViewer.c -o ./timViewer.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/viewer.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/viewer.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/viewer.so ./timViewer.o -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm clang -g -c -O -Wall -Wno-dangling-else -Wno-unused-result -DREVISION=7.8 -I/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/include -I. -I/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 timPyscript.c -o ./timPyscript.o touch /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pyscript.so rm /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pyscript.so clang++ -g -bundle -o /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib/pyscript.so ./timPyscript.o -L/Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -L/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -rpath /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/lib -rpath @loader_path/ -rpath /Users/jenkins/util/ESP/OpenCASCADE-7.8.0/./lib -Wl,-rpath /Users/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib *** Build Completed! *** + export CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data + CSMDATA=/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin ++ uname -a + [[ Darwin macys.mit.edu 22.6.0 Darwin Kernel Version 22.6.0: Tue Nov 7 21:48:06 PST 2023; root:xnu-8796.141.3.702.9~2/RELEASE_X86_64 x86_64 == *\D\a\r\w\i\n* ]] + sed -i '' '/.*capsExamples.*/d' /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/Verification.sh + bash -ex /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/Verification.sh + expectSuccess 01 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/expressions.vfy", so verification is being skipped ERROR:: (func_arg_out_of_bounds) in Branch Brch_000152 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:169]] ibeg must be be between 1 and strlen(str) when evaluating "slice(e3,0,999)" ERROR:: problem evaluating argument 2 (slice(e3,0,999)) for Branch 152 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (func_arg_out_of_bounds) in Branch Brch_000158 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:176]] ibeg must be be between 1 and strlen(str) when evaluating "slice(e3,14,999)" ERROR:: problem evaluating argument 2 (slice(e3,14,999)) for Branch 158 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (func_arg_out_of_bounds) in Branch Brch_000164 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:183]] iend must not be less than ibeg when evaluating "slice(e3,4,-1)" ERROR:: problem evaluating argument 2 (slice(e3,4,-1)) for Branch 164 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (illegal_pmtr_index) in Branch Brch_000317 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:384]] index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000332 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:402]] index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000346 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:418]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000360 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:434]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000367 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:442]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000374 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:450]] column index must be between 1 and 3 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000381 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:458]] column index must be between 1 and 3 --> catching signal -262 (illegal_pmtr_index) ERROR:: (UNKNOWN) in Branch Brch_001805 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:1914]] signal 1 thrown by user --> catching signal 1 (UNKNOWN) ERROR:: (illegal_value) in Branch Brch_001813 at [[/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/expressions.csm:1924]] vertex and base must be different --> catching signal -225 (illegal_value) ==> serveESP completed successfully with no verification data real 0m18.174s user 0m0.356s sys 0m0.060s + set +x ============================================= ESP verification case 01 passed (as expected) ============================================= + expectSuccess 02 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/demo2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/demo2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/demo2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m11.772s user 0m0.535s sys 0m0.090s + set +x ============================================= ESP verification case 02 passed (as expected) ============================================= + expectSuccess 03 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/tutorial1_whole + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/tutorial1_whole WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/verify_7.8.0/tutorial1_whole.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m11.682s user 0m3.404s sys 0m0.754s + set +x ============================================= ESP verification case 03 passed (as expected) ============================================= + expectSuccess 04 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/design2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/design2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/design2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.994s user 0m0.827s sys 0m0.049s + set +x ============================================= ESP verification case 04 passed (as expected) ============================================= + expectSuccess 05 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/design3 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/design3 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/design3.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.215s user 0m1.020s sys 0m0.063s + set +x ============================================= ESP verification case 05 passed (as expected) ============================================= + expectSuccess 06 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/tutorial2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/tutorial2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/verify_7.8.0/tutorial2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.067s user 0m0.902s sys 0m0.052s + set +x ============================================= ESP verification case 06 passed (as expected) ============================================= + expectSuccess 07 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/tutorial3 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/tutorial3 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/legacy/verify_7.8.0/tutorial3.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m4.427s user 0m5.619s sys 0m0.714s + set +x ============================================= ESP verification case 07 passed (as expected) ============================================= + expectSuccess 08 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/CAPS/myPlane + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/CAPS/myPlane WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/CAPS/verify_7.8.0/myPlane.vfy", so verification is being skipped ==> serveESP completed successfully with 12 warnings and no verification data real 0m24.357s user 0m40.342s sys 0m2.280s + set +x ============================================= ESP verification case 08 passed (as expected) ============================================= + expectSuccess 09 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/bottle + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/bottle WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/verify_7.8.0/bottle.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.245s user 0m0.879s sys 0m0.039s + set +x ============================================= ESP verification case 09 passed (as expected) ============================================= + expectSuccess 10 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/wingMultiModel + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/wingMultiModel WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/wingMultiModel.vfy", so verification is being skipped ==> serveESP completed successfully with 4 warnings and no verification data real 0m25.583s user 1m17.006s sys 0m15.226s + set +x ============================================= ESP verification case 10 passed (as expected) ============================================= + expectSuccess 11 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/bullet + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/bullet WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/bullet.vfy", so verification is being skipped WARNING:: 8 _hist and/or __trace__ attributes removed WARNING:: 8 _hist and/or __trace__ attributes removed ==> serveESP completed successfully with 1 warnings and no verification data real 0m2.024s user 0m0.495s sys 0m0.044s + set +x ============================================= ESP verification case 11 passed (as expected) ============================================= + expectSuccess 12 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/connect5 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/connect5 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/connect5.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m1.646s user 0m0.791s sys 0m0.024s + set +x ============================================= ESP verification case 12 passed (as expected) ============================================= + expectSuccess 13 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/group2 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/group2 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/group2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.562s user 0m0.543s sys 0m0.020s + set +x ============================================= ESP verification case 13 passed (as expected) ============================================= + expectSuccess 14 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/csm3 + ./serveESP -batch -verify -outLevel 0 /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/csm3 WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/basic/verify_7.8.0/csm3.vfy", so verification is being skipped nnode=8 nedge=12 nface=6 nnode=8 nedge=12 nface=6 nnode=16 nedge=24 nface=10 nnode=8 nedge=12 nface=6 ==> serveESP completed successfully with no verification data real 0m0.988s user 0m0.844s sys 0m0.032s + set +x ============================================= ESP verification case 14 passed (as expected) ============================================= + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/pyESP/testEGADS + make test python --version Python 3.11.6 python -u -m unittest discover .................................................... PCurve Map: 48 (alloc 48)! Surface Map: 12 (alloc 24)! Curve Map: 28 (alloc 28)! Writing PCurves... Writing Curves... Writing Surfaces... Writing 16 Nodes... Writing 28 Edges... Writing 12 Loops... Writing 12 Faces... Writing 1 Shells... EGADS Info: 0 Objects, 0 Reference in Use (of 972) at Close! ................... ---------------------------------------------------------------------- Ran 71 tests in 3.732s OK + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/pyESP/testOCSM + source /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP/test_pyOCSM.sh ++ set -x ++ python -u test_pyOCSM.py -skipHelp real 0m7.282s user 0m9.148s sys 0m0.234s ++ set +x ================================================= test_pyOCSM.py passed (as expected) ================================================= + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/pyESP/testCAPS + make test python --version Python 3.11.6 python -u -m unittest discover ..Mapping Csys attributes ................ Number of unique Csys attributes = 2 Name = leftWingSkin, index = 1 Name = riteWingSkin, index = 2 Getting FEA coordinate systems....... Number of coordinate systems - 2 Coordinate system name - leftWingSkin Coordinate system name - riteWingSkin Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Upper_Left, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Wing1, index = 1 Name = Wing2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 143 Number of elements = 282 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 282 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 155 Number of elements = 306 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 306 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 298 Combined Number of elements = 588 Combined Elemental Nodes = 0 Combined Elemental Rods = 0 Combined Elemental Tria3 = 588 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Wing1 Property name - Wing2 Done getting FEA properties Updating mesh element types based on properties input ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ..Writing D3 Javascript library - d3.v3.min.js ........... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .No analysis directory provided - defaulting to altName Mapping Csys attributes ................ Number of unique Csys attributes = 2 Name = leftWingSkin, index = 1 Name = riteWingSkin, index = 2 Getting FEA coordinate systems....... Number of coordinate systems - 2 Coordinate system name - leftWingSkin Coordinate system name - riteWingSkin Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Upper_Left, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Wing1, index = 1 Name = Wing2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 209 Number of elements = 414 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 414 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 186 Number of elements = 368 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 368 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 395 Combined Number of elements = 782 Combined Elemental Nodes = 0 Combined Elemental Rods = 0 Combined Elemental Tria3 = 782 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Wing1 Property name - Wing2 Done getting FEA properties Updating mesh element types based on properties input ..........--> Opening DESPMTR file "unitGeom.param" updating CFGPMTR series [ 1, 1] = 8412.000000 updating CFGPMTR series2 [ 1, 1] = 20.000000 updating CFGPMTR VIEW:CFD [ 1, 1] = 1.000000 updating CFGPMTR nrow [ 1, 1] = 3.000000 updating CFGPMTR ncol [ 1, 1] = 2.000000 updating DESPMTR area [ 1, 1] = 40.000000 updating DESPMTR aspect [ 1, 1] = 5.000000 updating DESPMTR taper [ 1, 1] = 0.500000 updating DESPMTR twist [ 1, 1] = 15.000000 updating DESPMTR wing:lesweep [ 1, 1] = 30.000000 updating DESPMTR wing:dihedral [ 1, 1] = 1.000000 updating DESPMTR wing:chord:root [ 1, 1] = 1.560000 updating DESPMTR htail [ 1, 1] = 1.000000 updating DESPMTR htail:chord [ 1, 1] = 2.000000 updating DESPMTR vtail:chord [ 1, 1] = 3.000000 updating DESPMTR vtail [ 1, 1] = 4.000000 updating DESPMTR sphereR [ 1, 1] = 80.000000 updating DESPMTR v@1:d_name [ 1, 1] = 1.000000 updating DESPMTR despMat [ 1, 1] = 11.000000 updating DESPMTR despMat [ 1, 2] = 12.000000 updating DESPMTR despMat [ 2, 1] = 13.000000 updating DESPMTR despMat [ 2, 2] = 14.000000 updating DESPMTR despMat [ 3, 1] = 15.000000 updating DESPMTR despMat [ 3, 2] = 16.000000 updating DESPMTR despCol [ 1, 1] = 11.000000 updating DESPMTR despCol [ 2, 1] = 13.000000 updating DESPMTR despCol [ 3, 1] = 15.000000 updating DESPMTR despRow [ 1, 1] = 11.000000 updating DESPMTR despRow [ 1, 2] = 12.000000 updating DESPMTR despRow [ 1, 3] = 13.000000 ERROR:: DESPMTR file "unitGeom.param" not found CAPS Error: ocsmSaveDespmtrs = -201 (caps_readParameters)! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! ..Linked Parameter Beta to analysis su2 input Beta Linked Parameter Alpha to analysis su2 input Alpha No linkable data found for Altitude No linkable data found for Strings No linkable data found for Strings2 Linked Parameter Beta to analysis su2 input Beta Linked Parameter Alpha to analysis su2 input Alpha ............ EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . CAPS Info: Hit last success -- going live! ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .--> Opening DESPMTR file "unitGeom.param" updating CFGPMTR series [ 1, 1] = 8412.000000 updating CFGPMTR series2 [ 1, 1] = 20.000000 updating CFGPMTR VIEW:CFD [ 1, 1] = 1.000000 updating CFGPMTR nrow [ 1, 1] = 3.000000 updating CFGPMTR ncol [ 1, 1] = 2.000000 updating DESPMTR area [ 1, 1] = 40.000000 updating DESPMTR aspect [ 1, 1] = 5.000000 updating DESPMTR taper [ 1, 1] = 0.500000 updating DESPMTR twist [ 1, 1] = 15.000000 updating DESPMTR wing:lesweep [ 1, 1] = 30.000000 updating DESPMTR wing:dihedral [ 1, 1] = 1.000000 updating DESPMTR wing:chord:root [ 1, 1] = 1.560000 updating DESPMTR htail [ 1, 1] = 1.000000 updating DESPMTR htail:chord [ 1, 1] = 2.000000 updating DESPMTR vtail:chord [ 1, 1] = 3.000000 updating DESPMTR vtail [ 1, 1] = 4.000000 updating DESPMTR sphereR [ 1, 1] = 80.000000 updating DESPMTR v@1:d_name [ 1, 1] = 1.000000 updating DESPMTR despMat [ 1, 1] = 11.000000 updating DESPMTR despMat [ 1, 2] = 12.000000 updating DESPMTR despMat [ 2, 1] = 13.000000 updating DESPMTR despMat [ 2, 2] = 14.000000 updating DESPMTR despMat [ 3, 1] = 15.000000 updating DESPMTR despMat [ 3, 2] = 16.000000 updating DESPMTR despCol [ 1, 1] = 11.000000 updating DESPMTR despCol [ 2, 1] = 13.000000 updating DESPMTR despCol [ 3, 1] = 15.000000 updating DESPMTR despRow [ 1, 1] = 11.000000 updating DESPMTR despRow [ 1, 2] = 12.000000 updating DESPMTR despRow [ 1, 3] = 13.000000 ERROR:: DESPMTR file "unitGeom.param" not found CAPS Error: ocsmSaveDespmtrs = -201 (caps_readParameters)! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ................ EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ---------------------------------------------------------------------- Ran 100 tests in 219.307s OK WARNING: 'capsProblem.loadCAPS' is deprecated. Please use 'Problem.__init__'! WARNING: 'capsGeometry.__init__' is deprecated. Please use 'Problem.__init__'! WARNING: 'capsProblem.loadAIM' is deprecated. Please use 'Problem.analysis.create'! WARNING: 'capsAnalysis.__init__' is deprecated. Please use 'Problem.analysis.create'! WARNING: 'capsAnalysis.setAnalysisVal' is deprecated. Please use 'Analysis.input["varname"].value'! WARNING: 'capsGeometry.setGeometryVal' is deprecated. Please use 'Problem.geometry.despmtr["varname"].value'! WARNING: 'capsAnalysis.getAnalysisOutVal' is deprecated. Please use 'Analysis.output["varname"].value'! WARNING: 'capsProblem.__init__' is deprecated. Please use 'Problem.__init__'! + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/ + make test python --version Python 3.11.6 python -u -m unittest discover --verbose test_journal (test_aflr2.TestAFLR2.test_journal) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.008 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.057 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.005 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2224 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2224 2224 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 446 894 AFLR2 IG : Nodes, Faces = 850 1702 AFLR2 IG : Nodes, Faces = 851 1704 AFLR2 IG : Nodes, Faces = 892 1786 AFLR2 IG : Nodes, Faces = 1301 2604 AFLR2 IG : Nodes, Faces = 1338 2678 AFLR2 IG : Nodes, Faces = 1401 2804 AFLR2 IG : Nodes, Faces = 1501 3004 AFLR2 IG : Nodes, Faces = 1601 3204 AFLR2 IG : Nodes, Faces = 1701 3404 AFLR2 IG : Nodes, Faces = 1784 3570 AFLR2 IG : Nodes, Faces = 1801 3604 AFLR2 IG : Nodes, Faces = 1901 3804 AFLR2 IG : Nodes, Faces = 2001 4004 AFLR2 IG : Nodes, Faces = 2101 4204 AFLR2 IG : Nodes, Faces = 2201 4404 AFLR2 IG : Nodes, Faces = 2224 4450 AFLR2 IG : Nodes, Faces = 2228 4450 AFLR2 IG : Nodes, Faces = 2224 2224 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2224 2224 AFLR2 GG : Nodes, Faces = 4121 6018 AFLR2 GG : Nodes, Faces = 5676 9128 AFLR2 GG : Nodes, Faces = 6930 11636 AFLR2 GG : Nodes, Faces = 8008 13792 AFLR2 GG : Nodes, Faces = 8945 15666 AFLR2 GG : Nodes, Faces = 9742 17260 AFLR2 GG : Nodes, Faces = 10427 18630 AFLR2 GG : Nodes, Faces = 11007 19790 AFLR2 GG : Nodes, Faces = 11514 20804 AFLR2 GG : Nodes, Faces = 11940 21656 AFLR2 GG : Nodes, Faces = 12314 22404 AFLR2 GG : Nodes, Faces = 12630 23036 AFLR2 GG : Nodes, Faces = 12902 23580 AFLR2 GG : Nodes, Faces = 13117 24010 AFLR2 GG : Nodes, Faces = 13293 24362 AFLR2 GG : Nodes, Faces = 13417 24610 AFLR2 GG : Nodes, Faces = 13516 24808 AFLR2 GG : Nodes, Faces = 13581 24938 AFLR2 GG : Nodes, Faces = 13623 25022 AFLR2 GG : Nodes, Faces = 13649 25074 AFLR2 GG : Nodes, Faces = 13660 25096 AFLR2 GG : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.029 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.002 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 13664 Number of elements = 27328 Number of tris = 25104 Number of quad = 0 EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.060 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.004 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2224 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2224 2224 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 446 894 AFLR2 IG : Nodes, Faces = 850 1702 AFLR2 IG : Nodes, Faces = 851 1704 AFLR2 IG : Nodes, Faces = 892 1786 AFLR2 IG : Nodes, Faces = 1301 2604 AFLR2 IG : Nodes, Faces = 1338 2678 AFLR2 IG : Nodes, Faces = 1401 2804 AFLR2 IG : Nodes, Faces = 1501 3004 AFLR2 IG : Nodes, Faces = 1601 3204 AFLR2 IG : Nodes, Faces = 1701 3404 AFLR2 IG : Nodes, Faces = 1784 3570 AFLR2 IG : Nodes, Faces = 1801 3604 AFLR2 IG : Nodes, Faces = 1901 3804 AFLR2 IG : Nodes, Faces = 2001 4004 AFLR2 IG : Nodes, Faces = 2101 4204 AFLR2 IG : Nodes, Faces = 2201 4404 AFLR2 IG : Nodes, Faces = 2224 4450 AFLR2 IG : Nodes, Faces = 2228 4450 AFLR2 IG : Nodes, Faces = 2224 2224 AFLR2 : CPU Time = 0.008 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2224 2224 AFLR2 GG : Nodes, Faces = 4121 6018 AFLR2 GG : Nodes, Faces = 5676 9128 AFLR2 GG : Nodes, Faces = 6930 11636 AFLR2 GG : Nodes, Faces = 8008 13792 AFLR2 GG : Nodes, Faces = 8945 15666 AFLR2 GG : Nodes, Faces = 9742 17260 AFLR2 GG : Nodes, Faces = 10427 18630 AFLR2 GG : Nodes, Faces = 11007 19790 AFLR2 GG : Nodes, Faces = 11514 20804 AFLR2 GG : Nodes, Faces = 11940 21656 AFLR2 GG : Nodes, Faces = 12314 22404 AFLR2 GG : Nodes, Faces = 12630 23036 AFLR2 GG : Nodes, Faces = 12902 23580 AFLR2 GG : Nodes, Faces = 13117 24010 AFLR2 GG : Nodes, Faces = 13293 24362 AFLR2 GG : Nodes, Faces = 13417 24610 AFLR2 GG : Nodes, Faces = 13516 24808 AFLR2 GG : Nodes, Faces = 13581 24938 AFLR2 GG : Nodes, Faces = 13623 25022 AFLR2 GG : Nodes, Faces = 13649 25074 AFLR2 GG : Nodes, Faces = 13660 25096 AFLR2 GG : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.028 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.002 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 13664 Number of elements = 27328 Number of tris = 25104 Number of quad = 0 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 ok test_phase (test_aflr2.TestAFLR2.test_phase) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.007 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.051 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.004 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 48 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 48 48 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 10 22 AFLR2 IG : Nodes, Faces = 20 42 AFLR2 IG : Nodes, Faces = 30 62 AFLR2 IG : Nodes, Faces = 38 78 AFLR2 IG : Nodes, Faces = 39 80 AFLR2 IG : Nodes, Faces = 40 82 AFLR2 IG : Nodes, Faces = 48 98 AFLR2 IG : Nodes, Faces = 52 98 AFLR2 IG : Nodes, Faces = 48 48 AFLR2 : CPU Time = 0.000 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 48 48 AFLR2 GG : Nodes, Faces = 88 128 AFLR2 GG : Nodes, Faces = 106 164 AFLR2 GG : Nodes, Faces = 120 192 AFLR2 GG : Nodes, Faces = 132 216 AFLR2 GG : Nodes, Faces = 143 238 AFLR2 GG : Nodes, Faces = 154 260 AFLR2 GG : Nodes, Faces = 165 282 AFLR2 GG : Nodes, Faces = 174 300 AFLR2 GG : Nodes, Faces = 181 314 AFLR2 GG : Nodes, Faces = 183 318 AFLR2 : CPU Time = 0.000 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 183 318 AFLR2 : CPU Time = 0.000 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 183 Number of elements = 366 Number of tris = 318 Number of quad = 0 ok test_reenter (test_aflr2.TestAFLR2.test_reenter) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 344 344 AFLR2 GG : Nodes, Faces = 680 1016 AFLR2 GG : Nodes, Faces = 1009 1674 AFLR2 GG : Nodes, Faces = 1333 2322 AFLR2 GG : Nodes, Faces = 1650 2956 AFLR2 GG : Nodes, Faces = 1957 3570 AFLR2 GG : Nodes, Faces = 2258 4172 AFLR2 GG : Nodes, Faces = 2548 4752 AFLR2 GG : Nodes, Faces = 2831 5318 AFLR2 GG : Nodes, Faces = 3102 5860 AFLR2 GG : Nodes, Faces = 3364 6384 AFLR2 GG : Nodes, Faces = 3614 6884 AFLR2 GG : Nodes, Faces = 3830 7316 AFLR2 GG : Nodes, Faces = 4037 7730 AFLR2 GG : Nodes, Faces = 4229 8114 AFLR2 GG : Nodes, Faces = 4415 8486 AFLR2 GG : Nodes, Faces = 4594 8844 AFLR2 GG : Nodes, Faces = 4763 9182 AFLR2 GG : Nodes, Faces = 4926 9508 AFLR2 GG : Nodes, Faces = 5086 9828 AFLR2 GG : Nodes, Faces = 5239 10134 AFLR2 GG : Nodes, Faces = 5385 10426 AFLR2 GG : Nodes, Faces = 5529 10714 AFLR2 GG : Nodes, Faces = 5669 10994 AFLR2 GG : Nodes, Faces = 5812 11280 AFLR2 GG : Nodes, Faces = 5943 11542 AFLR2 GG : Nodes, Faces = 6070 11796 AFLR2 GG : Nodes, Faces = 6189 12034 AFLR2 GG : Nodes, Faces = 6307 12270 AFLR2 GG : Nodes, Faces = 6415 12486 AFLR2 GG : Nodes, Faces = 6510 12676 AFLR2 GG : Nodes, Faces = 6595 12846 AFLR2 GG : Nodes, Faces = 6665 12986 AFLR2 GG : Nodes, Faces = 6727 13110 AFLR2 GG : Nodes, Faces = 6772 13200 AFLR2 GG : Nodes, Faces = 6806 13268 AFLR2 GG : Nodes, Faces = 6831 13318 AFLR2 GG : Nodes, Faces = 6850 13356 AFLR2 GG : Nodes, Faces = 6864 13384 AFLR2 GG : Nodes, Faces = 6872 13400 AFLR2 GG : Nodes, Faces = 6875 13406 AFLR2 GG : Nodes, Faces = 6876 13408 AFLR2 : CPU Time = 0.014 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6876 13408 AFLR2 : CPU Time = 0.002 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6876 Number of elements = 13752 Number of tris = 13408 Number of quad = 0 Writing TECPLOT file: pyCAPS_aflr2_Tri.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.014 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.007 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_aflr2_Quad.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! ok test_setInput (test_aflr2.TestAFLR2.test_setInput) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.015 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.010 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_aflr2_Test.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! ok test_Multiple_Mesh (test_aflr3.TestAFLR3.test_Multiple_Mesh) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Getting volume mesh for body 1 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box, index = 1 AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 1 (of 7): Number of nodes = 1189 Number of elements = 6249 Number of triangles = 1332 Number of quadrilatarals = 0 Number of tetrahedrals = 4917 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 2 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cylinder, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 2 (of 7): Number of nodes = 6353 Number of elements = 35297 Number of triangles = 4430 Number of quadrilatarals = 0 Number of tetrahedrals = 30867 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 3 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cone, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 3 (of 7): Number of nodes = 13586 Number of elements = 76197 Number of triangles = 8142 Number of quadrilatarals = 0 Number of tetrahedrals = 68055 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 4 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = torus, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 4 (of 7): Number of nodes = 42291 Number of elements = 240392 Number of triangles = 19942 Number of quadrilatarals = 0 Number of tetrahedrals = 220450 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 5 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = sphere, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 5 (of 7): Number of nodes = 4366 Number of elements = 24242 Number of triangles = 3014 Number of quadrilatarals = 0 Number of tetrahedrals = 21228 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 6 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = boxhole, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 6 (of 7): Number of nodes = 11036 Number of elements = 60950 Number of triangles = 8628 Number of quadrilatarals = 0 Number of tetrahedrals = 52322 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 7 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = bullet, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh for body 7 (of 7): Number of nodes = 10730 Number of elements = 60223 Number of triangles = 6362 Number of quadrilatarals = 0 Number of tetrahedrals = 53861 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Getting volume mesh for body 1 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Getting volume mesh for body 2 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Getting volume mesh for body 3 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Getting volume mesh for body 4 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Getting volume mesh for body 5 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Getting volume mesh for body 6 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Getting volume mesh for body 7 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 89551 Number of elements = 503550 Number of triangles = 51850 Number of quadrilatarals = 0 Number of tetrahedrals = 451700 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing AFLR3 file .... Finished writing AFLR3 file ok test_all (test_aflr3.TestAFLR3.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 17731 Number of elements = 101026 Number of triangles = 8318 Number of quadrilatarals = 0 Number of tetrahedrals = 92708 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_box (test_aflr3.TestAFLR3.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 2592 Number of elements = 13823 Number of triangles = 2758 Number of quadrilatarals = 0 Number of tetrahedrals = 11065 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_boxhole (test_aflr3.TestAFLR3.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 3651 Number of elements = 19783 Number of triangles = 3394 Number of quadrilatarals = 0 Number of tetrahedrals = 16389 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_bullet (test_aflr3.TestAFLR3.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5487 Number of elements = 30509 Number of triangles = 3656 Number of quadrilatarals = 0 Number of tetrahedrals = 26853 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cone (test_aflr3.TestAFLR3.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 4694 Number of elements = 25935 Number of triangles = 3326 Number of quadrilatarals = 0 Number of tetrahedrals = 22609 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cylinder (test_aflr3.TestAFLR3.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5554 Number of elements = 30862 Number of triangles = 3756 Number of quadrilatarals = 0 Number of tetrahedrals = 27106 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_faceMatch (test_aflr3.TestAFLR3.test_faceMatch) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 2260 Number of elements = 12133 Number of triangles = 2222 Number of quadrilatarals = 0 Number of tetrahedrals = 9911 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 3341 Number of elements = 18069 Number of triangles = 3110 Number of quadrilatarals = 0 Number of tetrahedrals = 14959 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 4414 Number of elements = 23974 Number of triangles = 3998 Number of quadrilatarals = 0 Number of tetrahedrals = 19976 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5490 Number of elements = 29891 Number of triangles = 4886 Number of quadrilatarals = 0 Number of tetrahedrals = 25005 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 6542 Number of elements = 35667 Number of triangles = 5774 Number of quadrilatarals = 0 Number of tetrahedrals = 29893 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 7601 Number of elements = 41489 Number of triangles = 6662 Number of quadrilatarals = 0 Number of tetrahedrals = 34827 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 19800 Number of elements = 109798 Number of triangles = 15540 Number of quadrilatarals = 0 Number of tetrahedrals = 94258 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_journal (test_aflr3.TestAFLR3.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 ok test_phase (test_aflr3.TestAFLR3.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_reenter (test_aflr3.TestAFLR3.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29411 Number of elements = 170137 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 162467 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22482 Number of elements = 130132 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124014 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_setInput (test_aflr3.TestAFLR3.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_aflr3.TestAFLR3.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5047 Number of elements = 27987 Number of triangles = 3464 Number of quadrilatarals = 0 Number of tetrahedrals = 24523 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_torus (test_aflr3.TestAFLR3.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 6467 Number of elements = 36212 Number of triangles = 4048 Number of quadrilatarals = 0 Number of tetrahedrals = 32164 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_transp (test_aflr3.TestAFLR3.test_transp) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 40512 Number of elements = 233939 Number of triangles = 12424 Number of quadrilatarals = 0 Number of tetrahedrals = 221515 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 44117 Number of elements = 255216 Number of triangles = 12406 Number of quadrilatarals = 0 Number of tetrahedrals = 242810 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 40512 Number of elements = 233921 Number of triangles = 12406 Number of quadrilatarals = 0 Number of tetrahedrals = 221515 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_MultiBody_quad (test_aflr4.TestAFLR4.test_MultiBody_quad) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value ok test_SingleBody_output (test_aflr4.TestAFLR4.test_SingleBody_output) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - trailingEdge Done getting mesh sizing parameters ok test_all (test_aflr4.TestAFLR4.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_box (test_aflr4.TestAFLR4.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_boxhole (test_aflr4.TestAFLR4.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_bullet (test_aflr4.TestAFLR4.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cone (test_aflr4.TestAFLR4.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cylinder (test_aflr4.TestAFLR4.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_faceMatch (test_aflr4.TestAFLR4.test_faceMatch) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_invalid_Mesh_Lenght_Scale (test_aflr4.TestAFLR4.test_invalid_Mesh_Lenght_Scale) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_journal (test_aflr4.TestAFLR4.test_journal) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ok test_phase (test_aflr4.TestAFLR4.test_phase) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_reenter (test_aflr4.TestAFLR4.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_setInput (test_aflr4.TestAFLR4.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_aflr4.TestAFLR4.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_torus (test_aflr4.TestAFLR4.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_Aeroelastic (test_astros.TestAstros.test_Aeroelastic) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Rib_Root, index = 1 Name = Skin, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Getting surface mesh for body 1 (of 2) Getting surface mesh for body 2 (of 2) Body 1 (of 2) Number of nodes = 1 Number of elements = 1 Number of node elements = 1 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 0 Body 2 (of 2) Number of nodes = 46 Number of elements = 44 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 44 ---------------------------- Total number of nodes = 47 Total number of elements = 45 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Rib_Root_Point, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Skin_Top, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 1 Name = Rib_Root, index = 1 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Rib_Root, index = 1 Name = Skin, index = 2 Name = Wing, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Rib_Root, index = 1 Name = Skin, index = 2 Name = Wing, index = 3 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 46 Number of elements = 44 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 44 Combining multiple FEA meshes! Combined Number of nodal coordinates = 47 Combined Number of elements = 45 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 44 Getting vortex lattice surface data VLM surface name - Skin_Top Done getting vortex lattice surface data Getting FEA vortex lattice mesh Surface 1: Number of points found for aero-spline = 3 Surface 2: Number of points found for aero-spline = 6 (Re-)Combining all aerodynamic surfaces into a 'Wing', 'Canard', and/or 'Fin' single surfaces ! Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Unobtainium No "materialType" specified for Material tuple Unobtainium, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Rib_Root No "material" specified for Property tuple Rib_Root, defaulting to an index of 1 Property name - Skin No "material" specified for Property tuple Skin, defaulting to an index of 1 Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - ribConstraint No "constraintType" specified for Constraint tuple ribConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Getting FEA supports....... Number of supports - 1 Support name - ribSupport Done getting FEA supports Getting FEA connections....... Number of connection tuples - 1 Connection name - Rib_Root No "groupName" specified for Connection tuple Rib_Root! Looking for automatic connections from the use of capsConnectLink for Rib_Root 8 automatic connections were made for capsConnect Rib_Root (node id 1) Done getting FEA connections Load tuple is NULL - No loads applied Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Getting FEA analyses....... Number of analyses - 1 Analysis name - Trim1 Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing connection cards - appending mesh file Writing Astros instruction file.... Writing aeros card Writing analysis cards Writing constraint cards--each subcase individually Writing support cards Writing material cards Writing property cards Writing aeroelastic cards Running Astros...... Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL Done running Astros! ok test_Plate (test_astros.TestAstros.test_Plate) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Face 1 TFI quading disabled with attribute .qParams Getting surface mesh for body 1 (of 1) Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Body 1 (of 1) Number of nodes = 25 Number of elements = 16 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 16 ---------------------------- Total number of nodes = 25 Total number of elements = 16 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = plateEdge, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = plate, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 25 Number of elements = 16 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 16 Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Analysis tuple is NULL Getting FEA analyses....... Number of analyses - 1 Analysis name - Default Done getting FEA analyses Writing Astros grid and connectivity file .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Analysis tuple is NULL Getting FEA analyses....... Number of analyses - 1 Analysis name - Default Done getting FEA analyses Writing Astros grid and connectivity file (in large field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Analysis tuple is NULL Getting FEA analyses....... Number of analyses - 1 Analysis name - Default Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL ok test_MassProp_Units (test_avl.TestAVL.test_MassProp_Units) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp ok test_MassProp_noUnits (test_avl.TestAVL.test_MassProp_noUnits) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Note: The following floating-point exceptions are signalling: IEEE_DIVIDE_BY_ZERO Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp ok test_alpha_custom_increment (test_avl.TestAVL.test_alpha_custom_increment) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. ok test_geom_change (test_avl.TestAVL.test_geom_change) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 ok test_numSpan (test_avl.TestAVL.test_numSpan) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing ok test_phase (test_avl.TestAVL.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. ok test_wing_Vtail (test_avl.TestAVL.test_wing_Vtail) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - VTail1 No "groupName" variable provided or no matches found, going to use tuple name VLM surface name - VTail2 No "groupName" variable provided or no matches found, going to use tuple name VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - VTail1 (ID = 0) Section 1 of 2 (ID = 1) Section 2 of 2 (ID = 0) Writing surface - VTail2 (ID = 1) Section 1 of 2 (ID = 0) Section 2 of 2 (ID = 1) Writing surface - Wing (ID = 2) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 ok test_wing_tail (test_avl.TestAVL.test_wing_tail) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing VLM surface name - hTail VLM surface name - vTail No "groupName" variable provided or no matches found, going to use tuple name Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Rudder not found in controls tuple! Only defaults will be used. Warning: Control Rudder not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing surface - hTail (ID = 1) Section 1 of 3 (ID = 2) Control surface 1 of 1 Section 2 of 3 (ID = 1) Control surface 1 of 1 Section 3 of 3 (ID = 0) Control surface 1 of 1 Writing surface - vTail (ID = 2) Section 1 of 2 (ID = 0) Control surface 1 of 1 Section 2 of 2 (ID = 1) Control surface 1 of 1 ok test_wing_tail_control (test_avl.TestAVL.test_wing_tail_control) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice control surface data VLM control surface name - Elevator VLM control surface name - LeftAileron VLM control surface name - RightAileron VLM control surface name - Rudder Done getting vortex lattice control surface data Getting vortex lattice surface data VLM surface name - Wing VLM surface name - hTail VLM surface name - vTail No "groupName" variable provided or no matches found, going to use tuple name Done getting vortex lattice surface data Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing surface - hTail (ID = 1) Section 1 of 3 (ID = 2) Control surface 1 of 1 Section 2 of 3 (ID = 1) Control surface 1 of 1 Section 3 of 3 (ID = 0) Control surface 1 of 1 Writing surface - vTail (ID = 2) Section 1 of 2 (ID = 0) Control surface 1 of 1 Section 2 of 2 (ID = 1) Control surface 1 of 1 ok test_TargetCL (test_cart3d.TestCart3D.test_TargetCL) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 28.284271 -nDiv 9 -maxR 7 -mesh2d > autoInputs.out Executing: ./aero.csh > aero.out ok test_outputs (test_cart3d.TestCart3D.test_outputs) ... ok test_reenter (test_cart3d.TestCart3D.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Getting CFD functional....... Number of design variables - 1 Objective name - Drag Done getting CFD functional Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out Executing: ./aero.csh > aero.out Executing: ./aero.csh > aero.out Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.307131 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out Executing: ./aero.csh > aero.out ok test_sensitivity_AnalysisIn (test_cart3d.TestCart3D.test_sensitivity_AnalysisIn) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Getting CFD design variables....... Number of design variables - 1 Design Variable name - Mach Done getting CFD design variables Getting CFD functional....... Number of design variables - 3 Objective name - C_A Objective name - C_N Objective name - C_Y Done getting CFD functional Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:16) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:17) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:19) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:23) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:30:26) Linearizing cut-cells (11:30:26) ... done o Number of simultaneous xsensit jobs: 1 (11:30:26) Computing gradients (11:30:34) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:36) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:39) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 3 Objective name - C_D Objective name - C_L Objective name - C_S Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:40) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:42) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:43) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:46) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:30:47) Linearizing cut-cells (11:30:47) ... done o Number of simultaneous xsensit jobs: 1 (11:30:47) Computing gradients (11:30:55) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:56) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:30:57) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_l Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:30:59) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:00) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:01) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:02) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:02) Linearizing cut-cells (11:31:02) ... done o Number of simultaneous xsensit jobs: 1 (11:31:02) Computing gradients (11:31:06) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:07) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:09) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_m Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:10) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:11) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:12) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:13) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:14) Linearizing cut-cells (11:31:14) ... done o Number of simultaneous xsensit jobs: 1 (11:31:14) Computing gradients (11:31:18) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:20) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:21) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_n Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:22) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:24) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:25) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:26) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:26) Linearizing cut-cells (11:31:26) ... done o Number of simultaneous xsensit jobs: 1 (11:31:27) Computing gradients (11:31:31) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:32) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:33) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_M_x Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:34) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:43) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:31:47) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:31:53) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:31:55) Linearizing cut-cells (11:31:55) ... done o Number of simultaneous xsensit jobs: 1 (11:31:56) Computing gradients (11:32:00) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:32:08) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:32:24) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_M_y Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:32:37) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:32:50) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:33:00) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:33:13) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:33:16) Linearizing cut-cells (11:33:16) ... done o Number of simultaneous xsensit jobs: 1 (11:33:17) Computing gradients (11:33:21) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:33:26) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:33:45) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) Getting CFD functional....... Number of design variables - 1 Objective name - C_M_z Done getting CFD functional o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:33:49) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:33:56) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:34:00) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:34:09) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 1 o Number of simultaneous cutter jobs: 1 (11:34:11) Linearizing cut-cells (11:34:11) ... done o Number of simultaneous xsensit jobs: 1 (11:34:11) Computing gradients (11:34:16) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:34:21) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:34:36) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) ok test_sensitivity_GeometryIn (test_cart3d.TestCart3D.test_sensitivity_GeometryIn) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Getting CFD design variables....... Number of design variables - 2 Design Variable name - Mach Design Variable name - area Done getting CFD design variables Getting CFD functional....... Number of design variables - 3 Objective name - C_A Objective name - C_N Objective name - C_Y Done getting CFD functional Body size = 15.356535 Tessellating body 1 with MaxEdge = 0.383913 Sag = 0.015357 Angle = 15.000000 Executing: autoInputs -r 80.000000 -nDiv 5 -maxR 7 > autoInputs.out o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:35:23) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:35:31) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:35:38) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:36:03) aero.csh done Max memory allowed: 32 GB Flow hexes: 8744 Estimated cutter memory: 0.000874 GB / DV Estimated xsensit memory: 0.016614 GB / DV Available threads: 2 # DVs: 2 o Number of simultaneous cutter jobs: 2 (11:36:11) Linearizing cut-cells (11:36:15) ... done o Number of simultaneous xsensit jobs: 2 (11:36:18) Computing gradients Adjusted OMP_NUM_THREADS to 1 for xsensit (11:36:31) ... done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) o Evaluating Cart3D functionals and/or gradients ATTENTION: using static geometry ../inputs/Components.i.tri (11:36:44) Running aero.csh on 2 core(s) in M0.5A1B0_DP1 (11:36:53) aero.csh done o case M0.5A1B0_DP1, averaging data over 1 cycle(s) ok test_Output (test_cbaero.TestCBAERO.test_Output) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 2 Name = Wing1, index = 1 Name = trailingEdge, index = 2 Writing FAST file .... Finished writing FAST file Writing CBAero input file - cbaero_CAPS.cbaero Writing CBAero tagged regions - TaggedRegions Writing CBAero setup file - cbaero_CAPS.stp ok test_inputs (test_cbaero.TestCBAERO.test_inputs) ... Writing CBAero input file - cbaero_CAPS.cbaero Writing CBAero tagged regions - TaggedRegions Writing CBAero setup file - cbaero_CAPS.stp ok test_MultiBody (test_egadsTess.TestEGADS.test_MultiBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value ok test_SingleBody_AnalysisOutVal (test_egadsTess.TestEGADS.test_SingleBody_AnalysisOutVal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters ok test_all (test_egadsTess.TestEGADS.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 9 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = nodeBody, index = 8 Name = farfield, index = 9 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 4 7, sen = -1! 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! 70000D913000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 70000D913000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_box (test_egadsTess.TestEGADS.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_boxhole (test_egadsTess.TestEGADS.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 4 7, sen = -1! 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! 70000D913000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 70000D913000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! ok test_bullet (test_egadsTess.TestEGADS.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cone (test_egadsTess.TestEGADS.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cylinder (test_egadsTess.TestEGADS.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_invalid_Mesh_Lenght_Scale (test_egadsTess.TestEGADS.test_invalid_Mesh_Lenght_Scale) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_journal (test_egadsTess.TestEGADS.test_journal) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ok test_nodeBody (test_egadsTess.TestEGADS.test_nodeBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = nodeBody, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_phase (test_egadsTess.TestEGADS.test_phase) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ok test_reenter (test_egadsTess.TestEGADS.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters ok test_setInput (test_egadsTess.TestEGADS.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_egadsTess.TestEGADS.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_torus (test_egadsTess.TestEGADS.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_Design_SensFile (test_fun3d.TestFUN3D.test_Design_SensFile) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 7819 Number of elements = 15634 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 15634 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 5889 Number of elements = 11774 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 11774 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 516 Number of elements = 1028 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1028 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 14224 Total number of elements = 28436 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14224, elements - 28436 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.176395 Creating surface mesh ... Surface mesh seconds: 0.03351 Recovering boundaries... Boundary recovery seconds: 0.128429 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.056824 Recovering Delaunayness... Delaunay recovery seconds: 0.073347 Refining mesh... 18960 insertions, added 14017 points, 701008 tetrahedra in queue. 6313 insertions, added 2494 points, 554976 tetrahedra in queue. 8415 insertions, added 1753 points, 70 tetrahedra in queue. Refinement seconds: 2.50444 Smoothing vertices... Mesh smoothing seconds: 2.30927 Improving mesh... Mesh improvement seconds: 0.060422 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.017173 Total running seconds: 5.36045 Statistics: Input points: 14224 Input facets: 28436 Input segments: 42654 Input holes: 2 Input regions: 0 Mesh points: 33058 Mesh tetrahedra: 159464 Mesh faces: 333146 Mesh faces on exterior boundary: 28436 Mesh faces on input facets: 28436 Mesh edges on input segments: 42654 Steiner points inside domain: 18834 Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 5 Design Variable name - Mach Warning: No initial value set for Mach Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. ok test_Design_Sensitivity (test_fun3d.TestFUN3D.test_Design_Sensitivity) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 6 Design Variable name - Alpha Design Variable name - Beta Warning: No initial value set for Beta Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Python library was linked, but will not be used! Creating FUN3D directory tree Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Overwrite_NML is set to 'True' - a new namelist will be created Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Reading rubber.data Getting CFD design variables....... Number of design variables - 1 Design Variable name - Alpha Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Creating FUN3D directory tree DesignVariable = Alpha Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Overwrite_NML is set to 'True' - a new namelist will be created Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Reading rubber.data ok test_Mesh_Morph_Sensitivity (test_fun3d.TestFUN3D.test_Mesh_Morph_Sensitivity) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 6 Design Variable name - Alpha Design Variable name - Beta Warning: No initial value set for Beta Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing rubber.data Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Projecting tessellation 1 (of 3) on to new body Projecting tessellation 2 (of 3) on to new body Projecting tessellation 3 (of 3) on to new body Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Projecting tessellation 1 (of 3) on to new body Projecting tessellation 2 (of 3) on to new body Projecting tessellation 3 (of 3) on to new body Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data ok test_cythonNML (test_fun3d.TestFUN3D.test_cythonNML) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 7819 Number of elements = 15634 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 15634 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 5889 Number of elements = 11774 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 11774 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 516 Number of elements = 1028 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1028 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 14224 Total number of elements = 28436 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14224, elements - 28436 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.155796 Creating surface mesh ... Surface mesh seconds: 0.030568 Recovering boundaries... Boundary recovery seconds: 0.119635 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.05006 Recovering Delaunayness... Delaunay recovery seconds: 0.063454 Refining mesh... 18960 insertions, added 14017 points, 701008 tetrahedra in queue. 6313 insertions, added 2494 points, 554976 tetrahedra in queue. 8415 insertions, added 1753 points, 70 tetrahedra in queue. Refinement seconds: 2.2131 Smoothing vertices... Mesh smoothing seconds: 2.01175 Improving mesh... Mesh improvement seconds: 0.042393 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.012505 Total running seconds: 4.6998 Statistics: Input points: 14224 Input facets: 28436 Input segments: 42654 Input holes: 2 Input regions: 0 Mesh points: 33058 Mesh tetrahedra: 159464 Mesh faces: 333146 Mesh faces on exterior boundary: 28436 Mesh faces on input facets: 28436 Mesh edges on input segments: 42654 Steiner points inside domain: 18834 Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Reading /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM4/fun3d.nml ..... Appending namelist Done writing nml file with Python Info: No recognized data transfer names found. ok test_cythonNMLError (test_fun3d.TestFUN3D.test_cythonNMLError) ... ok test_cythonNMLReentrance (test_fun3d.TestFUN3D.test_cythonNMLReentrance) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM6/fun3d.nml not found! Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Reading /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM6/fun3d.nml ..... Appending namelist Done writing nml file with Python Info: No recognized data transfer names found. ok test_invalidBoundary (test_fun3d.TestFUN3D.test_invalidBoundary) ... Getting CFD boundary conditions Boundary condition name - Wing1 ok test_invalidBoundaryName (test_fun3d.TestFUN3D.test_invalidBoundaryName) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - X ok test_journal (test_fun3d.TestFUN3D.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 5071, elements - 10130 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 5071, elements - 10130 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! ok test_moving_body (test_fun3d.TestFUN3D.test_moving_body) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Writing moving_body.input ok test_overwriteNML (test_fun3d.TestFUN3D.test_overwriteNML) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_phase (test_fun3d.TestFUN3D.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14224, elements - 28436 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_reenter (test_fun3d.TestFUN3D.test_reenter) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. ok test_symmetry (test_fun3d.TestFUN3D.test_symmetry) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_box1 (test_masstran.TestMasstran.test_box1) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_box2 (test_masstran.TestMasstran.test_box2) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_box3 (test_masstran.TestMasstran.test_box3) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - box2 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ok test_journal (test_masstran.TestMasstran.test_journal) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Updating mesh element types based on properties input EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input ok test_plate (test_masstran.TestMasstran.test_plate) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_plate_point (test_masstran.TestMasstran.test_plate_point) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ok test_Cheby_Modes (test_mses.TestMSES_Kulfan.test_Cheby_Modes) ... Getting CFD design variables....... Number of design variables - 4 Design Variable name - alowervar Design Variable name - auppervar Design Variable name - classvar Design Variable name - ztailvar Done getting CFD design variables CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 ok test_Cheby_Modes_Symmetric (test_mses.TestMSES_Kulfan.test_Cheby_Modes_Symmetric) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - avar Done getting CFD design variables CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 ok test_allInputs (test_mses.TestMSES_Kulfan.test_allInputs) ... ok test_execute (test_mses.TestMSES_Kulfan.test_execute) ... ok test_sensitivity_AnalysisIn (test_mses.TestMSES_Kulfan.test_sensitivity_AnalysisIn) ... ok test_Cheby_Modes_Symmetric (test_mses.TestMSES_NACA.test_Cheby_Modes_Symmetric) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables ok test_geom_sensitivity_CL (test_mses.TestMSES_NACA.test_geom_sensitivity_CL) ... Getting CFD design variables....... Number of design variables - 2 Design Variable name - camber Design Variable name - thick Done getting CFD design variables ok test_journal (test_mses.TestMSES_NACA.test_journal) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables ok test_MultiBody (test_pointwise.TestPointwise.test_MultiBody) ... skipped 'No pointwise executable' test_SingleBody (test_pointwise.TestPointwise.test_SingleBody) ... skipped 'No pointwise executable' test_all (test_pointwise.TestPointwise.test_all) ... skipped 'No pointwise executable' test_box (test_pointwise.TestPointwise.test_box) ... skipped 'No pointwise executable' test_bullet (test_pointwise.TestPointwise.test_bullet) ... skipped 'No pointwise executable' test_cone (test_pointwise.TestPointwise.test_cone) ... skipped 'No pointwise executable' test_cylinder (test_pointwise.TestPointwise.test_cylinder) ... skipped 'No pointwise executable' test_executeError (test_pointwise.TestPointwise.test_executeError) ... skipped 'No pointwise executable' test_reenter (test_pointwise.TestPointwise.test_reenter) ... skipped 'No pointwise executable' test_sphere (test_pointwise.TestPointwise.test_sphere) ... skipped 'No pointwise executable' EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! test_all (test_refine.TestREFINE.test_all) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 1015 Number of elements = 5450 Number of triangles = 918 Number of quadrilatarals = 0 Number of tetrahedrals = 4532 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_box_volume (test_refine.TestREFINE.test_box_volume) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 323 Number of elements = 1583 Number of triangles = 496 Number of quadrilatarals = 0 Number of tetrahedrals = 1087 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_boxhole (test_refine.TestREFINE.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = boxhole, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = boxhole, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 960 Number of elements = 5119 Number of triangles = 874 Number of quadrilatarals = 0 Number of tetrahedrals = 4245 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cfdSingleBody (test_refine.TestREFINE.test_cfdSingleBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 17541 Number of elements = 100992 Number of triangles = 5240 Number of quadrilatarals = 0 Number of tetrahedrals = 95752 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Writing SU2 file .... Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Done getting CFD boundary conditions Writing boundary flags - bcProps.surfaceProp[0].surfaceType = 3 - bcProps.surfaceProp[1].surfaceType = 1 Done boundary flags Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Writing SU2 file .... Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Done getting CFD boundary conditions Writing boundary flags - bcProps.surfaceProp[0].surfaceType = 3 - bcProps.surfaceProp[1].surfaceType = 1 Done boundary flags Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Writing SU2 file .... Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Done getting CFD boundary conditions Writing boundary flags - bcProps.surfaceProp[0].surfaceType = 3 - bcProps.surfaceProp[1].surfaceType = 1 Done boundary flags Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " ok test_fun3d (test_refine.TestREFINE.test_fun3d) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = farfield, index = 1 Name = box, index = 2 Name = sphere, index = 3 Name = boxhole, index = 4 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 1015 Number of elements = 5450 Number of triangles = 918 Number of quadrilatarals = 0 Number of tetrahedrals = 4532 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_inputs (test_refine.TestREFINE.test_inputs) ... ok test_phase (test_refine.TestREFINE.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 398 Number of elements = 1955 Number of triangles = 612 Number of quadrilatarals = 0 Number of tetrahedrals = 1343 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = box, index = 2 ok test_sphere (test_refine.TestREFINE.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = sphere, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = farfield, index = 1 Name = sphere, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 305 Number of elements = 1472 Number of triangles = 468 Number of quadrilatarals = 0 Number of tetrahedrals = 1004 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_inputs (test_su2.TestSU2.test_inputs) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting volume mesh UG PARAM : SETTING INPUT PARAMETERS FROM ARGUMENT VECTOR UG PARAM : mrecm = 3 UG PARAM : mrecqm = 3 UG PARAM : mpfrmt = 0 AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : EGADS CAD Geometry Setup EGADS : Model has 3 Active Bodies EGADS : Body 0 is a SolidBody EGADS : Body 0 has 1 Shells EGADS : Body 0 has 8 Faces EGADS : Body 0 has 15 Edges EGADS : Body 0 has 8 Loops EGADS : Body 0 has 9 Nodes EGADS : Body 1 is a SolidBody EGADS : Body 1 has 1 Shells EGADS : Body 1 has 8 Faces EGADS : Body 1 has 15 Edges EGADS : Body 1 has 8 Loops EGADS : Body 1 has 9 Nodes EGADS : Body 2 is a SolidBody EGADS : Body 2 has 1 Shells EGADS : Body 2 has 2 Faces EGADS : Body 2 has 6 Edges EGADS : Body 2 has 2 Loops EGADS : Body 2 has 2 Nodes EGADS : Check Grid BCs EGADS : Grid BCs are OK EGADS : Face Neighbor-Faces Information EGADS : QC = Face Quad Combination Flag EGADS : IER = Face Isolated Edge Refinement Flag EGADS : ER = Face Edge Refinement Factor EGADS : Not applicable for Faces with a FarField Grid BC. EGADS : SF = Face Scale Factor EGADS : Not applicable for Faces with a FarField Grid BC. EGADS : Face Body QC IER ER Scale Neighbor EGADS : ID Active ID Flag Flag Weight Factor Faces EGADS : 1 Yes 0 0 1 1 1 2 3 4 5 EGADS : 2 Yes 0 0 1 1 1 1 3 4 6 EGADS : 3 Yes 0 0 1 1 1 1 2 4 7 EGADS : 4 Yes 0 0 1 1 1 1 2 3 EGADS : 5 Yes 0 0 1 1 1 1 6 7 8 EGADS : 6 Yes 0 0 1 1 1 2 5 7 8 EGADS : 7 Yes 0 0 1 1 1 3 5 6 8 EGADS : 8 Yes 0 0 1 1 1 5 6 7 EGADS : 9 Yes 1 0 1 0 10 10 11 12 13 EGADS : 10 Yes 1 0 1 0 10 9 11 12 14 EGADS : 11 Yes 1 0 1 0 10 9 10 12 15 EGADS : 12 Yes 1 0 1 0 10 9 10 11 EGADS : 13 Yes 1 0 1 0 10 9 14 15 16 EGADS : 14 Yes 1 0 1 0 10 10 13 15 16 EGADS : 15 Yes 1 0 1 0 10 11 13 14 16 EGADS : 16 Yes 1 0 1 0 10 13 14 15 EGADS : 17 Yes 2 0 1 NA NA 18 EGADS : 18 Yes 2 0 1 NA NA 17 EGADS : Face Edges Information EGADS : Face Edges EGADS : 1 1 4 -3 -2 EGADS : 2 3 7 -6 -5 EGADS : 3 6 9 -1 -8 EGADS : 4 -4 -9 -7 EGADS : 5 -10 2 11 -12 EGADS : 6 -11 5 13 -14 EGADS : 7 -13 8 10 -15 EGADS : 8 12 14 15 EGADS : 9 16 19 -18 -17 EGADS : 10 18 22 -21 -20 EGADS : 11 21 24 -16 -23 EGADS : 12 -19 -24 -22 EGADS : 13 -25 17 26 -27 EGADS : 14 -26 20 28 -29 EGADS : 15 -28 23 25 -30 EGADS : 16 27 29 30 EGADS : 17 -31 -32 33 34 EGADS : 18 -35 -34 36 32 EGADS : Face Loops Information EGADS : Face Loops EGADS : 1 1 EGADS : 2 2 EGADS : 3 3 EGADS : 4 4 EGADS : 5 5 EGADS : 6 6 EGADS : 7 7 EGADS : 8 8 EGADS : 9 9 EGADS : 10 10 EGADS : 11 11 EGADS : 12 12 EGADS : 13 13 EGADS : 14 14 EGADS : 15 15 EGADS : 16 16 EGADS : 17 17 EGADS : 18 18 EGADS : Loop Edges Information EGADS : Loop Active Sense Edges EGADS : 1 Yes 1 1 4 -3 -2 EGADS : 2 Yes 1 3 7 -6 -5 EGADS : 3 Yes 1 6 9 -1 -8 EGADS : 4 Yes 1 -4 -9 -7 EGADS : 5 Yes 1 -10 2 11 -12 EGADS : 6 Yes 1 -11 5 13 -14 EGADS : 7 Yes 1 -13 8 10 -15 EGADS : 8 Yes 1 12 14 15 EGADS : 9 Yes 1 16 19 -18 -17 EGADS : 10 Yes 1 18 22 -21 -20 EGADS : 11 Yes 1 21 24 -16 -23 EGADS : 12 Yes 1 -19 -24 -22 EGADS : 13 Yes 1 -25 17 26 -27 EGADS : 14 Yes 1 -26 20 28 -29 EGADS : 15 Yes 1 -28 23 25 -30 EGADS : 16 Yes 1 27 29 30 EGADS : 17 Yes 1 -31 -32 33 34 EGADS : 18 Yes 1 -35 -34 36 32 EGADS : Edge Node, Scale Factor, and Neighbor-Faces Information EGADS : ESF = Edge Scale Factor EGADS : Not applicable for DEGENERATE Edges or Edges with a FarField EGADS : Grid BC Neighbor Face. EGADS : Neighbor EGADS : Edge Body Active Node1 Node2 ESF Faces EGADS : 1 0 Yes 1 2 1 1 3 EGADS : 2 0 Yes 1 3 1 1 5 EGADS : 3 0 Yes 3 4 1 1 2 EGADS : 4 0 Yes 2 4 1 1 4 EGADS : 5 0 Yes 3 5 1 2 6 EGADS : 6 0 Yes 5 6 1 2 3 EGADS : 7 0 Yes 4 6 1 2 4 EGADS : 8 0 Yes 5 1 1 3 7 EGADS : 9 0 Yes 6 2 1 3 4 EGADS : 10 0 Yes 1 7 1 5 7 EGADS : 11 0 Yes 3 8 1 5 6 EGADS : 12 0 Yes 7 8 1 5 8 EGADS : 13 0 Yes 5 9 1 6 7 EGADS : 14 0 Yes 8 9 1 6 8 EGADS : 15 0 Yes 9 7 1 7 8 EGADS : 16 1 Yes 10 11 1 9 11 EGADS : 17 1 Yes 10 12 1 9 13 EGADS : 18 1 Yes 12 13 1 9 10 EGADS : 19 1 Yes 11 13 1 9 12 EGADS : 20 1 Yes 12 14 1 10 14 EGADS : 21 1 Yes 14 15 1 10 11 EGADS : 22 1 Yes 13 15 1 10 12 EGADS : 23 1 Yes 14 10 1 11 15 EGADS : 24 1 Yes 15 11 1 11 12 EGADS : 25 1 Yes 10 16 1 13 15 EGADS : 26 1 Yes 12 17 1 13 14 EGADS : 27 1 Yes 16 17 1 13 16 EGADS : 28 1 Yes 14 18 1 14 15 EGADS : 29 1 Yes 17 18 1 14 16 EGADS : 30 1 Yes 18 16 1 15 16 EGADS : 31 2 DEGEN 19 19 - 17 EGADS : 32 2 Yes 20 19 NA 17 18 EGADS : 33 2 DEGEN 20 20 - 17 EGADS : 34 2 Yes 20 19 NA 17 18 EGADS : 35 2 DEGEN 19 19 - 18 EGADS : 36 2 DEGEN 20 20 - 18 EGADS : Node Information EGADS : Node Active Coordinates EGADS : 1 Yes 3.77246052 0 0.00459131419 EGADS : 2 Yes 5.90487498 7.07106781 0 EGADS : 3 Yes 0 0 0 EGADS : 4 Yes 4.0824829 7.07106781 0.123425948 EGADS : 5 Yes 3.77001182 0 0 EGADS : 6 Yes 5.90282524 7.07106781 0 EGADS : 7 Yes 5.90487498 0 0 EGADS : 8 Yes 4.0824829 0 0.123425948 EGADS : 9 Yes 5.90282524 0 0 EGADS : 10 Yes 11.5089842 0 0.00183652568 EGADS : 11 Yes 12.36195 2.82842712 0 EGADS : 12 Yes 10 0 0 EGADS : 13 Yes 11.6329932 2.82842712 0.0493703791 EGADS : 14 Yes 11.5080047 0 0 EGADS : 15 Yes 12.3611301 2.82842712 0 EGADS : 16 Yes 12.36195 0 0 EGADS : 17 Yes 11.6329932 0 0.0493703791 EGADS : 18 Yes 12.3611301 0 0 EGADS : 19 Yes 0 0 80 EGADS : 20 Yes 0 0 0 EGADS : Face and Edge Match Information EGADS : No Face Matches Found AFLR4 : Surface Mesh Spacing Setup AFLR4 : Max Bounding Box Length = 160 AFLR4 : Min Bounding Box Length = 160 AFLR4 : Max Ref Bounding Box Length = 14.1421 AFLR4 : Min Ref Bounding Box Length = 0.890888 AFLR4 : Reference Length = 0.890888 AFLR4 : BL Thickness = 0 AFLR4 : FarField Spacing = 15.6122 AFLR4 : Abs Min Surf Spacing = 0.00222722 AFLR4 : Min Surf Spacing = 0.00445444 AFLR4 : Max Surf Spacing = 0.0890888 AFLR4 : Global Scale Factor = 1 AFLR4 : Geometry Definition Information AFLR4 : Definition Definition Composite Grid BC AFLR4 : ID Type ID Type AFLR4 : 0 glue-only composite - - AFLR4 : 1 CAD geometry 0 STD AFLR4 : 2 CAD geometry 0 STD AFLR4 : 3 CAD geometry 0 STD AFLR4 : 4 CAD geometry 0 STD AFLR4 : 5 CAD geometry 0 STD AFLR4 : 6 CAD geometry 0 STD AFLR4 : 7 CAD geometry 0 STD AFLR4 : 8 CAD geometry 0 STD AFLR4 : 9 CAD geometry 0 STD AFLR4 : 10 CAD geometry 0 STD AFLR4 : 11 CAD geometry 0 STD AFLR4 : 12 CAD geometry 0 STD AFLR4 : 13 CAD geometry 0 STD AFLR4 : 14 CAD geometry 0 STD AFLR4 : 15 CAD geometry 0 STD AFLR4 : 16 CAD geometry 0 STD AFLR4 : 17 CAD geometry 0 FARFIELD AFLR4 : 18 CAD geometry 0 FARFIELD AFLR4 : Case has 2 Proximity Checking Components AFLR4 : Component 1 includes Definitions 1 2 3 4 5 6 7 8 AFLR4 : Component 2 includes Definitions 9 10 11 12 13 14 15 16 AFLR4 : Define CAD surface definition 1 AFLR4 : Define CAD surface definition 2 AFLR4 : Define CAD surface definition 3 AFLR4 : Define CAD surface definition 4 AFLR4 : Define CAD surface definition 5 AFLR4 : Define CAD surface definition 6 AFLR4 : Define CAD surface definition 7 AFLR4 : Define CAD surface definition 8 AFLR4 : Define CAD surface definition 9 AFLR4 : Define CAD surface definition 10 AFLR4 : Define CAD surface definition 11 AFLR4 : Define CAD surface definition 12 AFLR4 : Define CAD surface definition 13 AFLR4 : Define CAD surface definition 14 AFLR4 : Define CAD surface definition 15 AFLR4 : Define CAD surface definition 16 AFLR4 : Define CAD surface definition 17 AFLR4 : Define CAD surface definition 18 AFLR43 : INPUT SURFACE MESH FROM TESS AFLR43 : Quad Surface Faces= 0 AFLR43 : Tria Surface Faces= 12406 AFLR43 : Nodes = 6209 UG PARAM : SETTING INPUT PARAMETERS FROM ARGUMENT VECTOR UG PARAM : mrecm = 3 UG PARAM : mrecqm = 3 UG PARAM : mpfrmt = 0 AFLR3 : --------------------------------------- AFLR3 : AFLR3 LIBRARY AFLR3 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR3 : TETRAHEDRAL GRID GENERATOR AFLR3 : Version Number 16.32.49 AFLR3 : Version Date 07/26/23 @ 12:32AM AFLR3 : Compile OS Darwin 22.5.0 x86_64 AFLR3 : Compile Date 07/26/23 @ 01:42PM AFLR3 : Copyright 1994-2021, D.L. Marcum AFLR3 : --------------------------------------- AFLR3 IC : INPUT SURFACE GRID CHECK AFLR3 IC : Nodes, Elements = 6209 0 UG3 : Boundary Conditions UG3 : B-Face ID Boundary Condition UG3 : 1 Solid UG3 : 2 Solid UG3 : 3 Solid UG3 : 4 Solid UG3 : 5 Solid UG3 : 6 Solid UG3 : 7 Solid UG3 : 8 Solid UG3 : 9 Solid UG3 : 10 Solid UG3 : 11 Solid UG3 : 12 Solid UG3 : 13 Solid UG3 : 14 Solid UG3 : 15 Solid UG3 : 16 Solid UG3 : 17 FarField UG3 : 18 FarField AFLR3 : CPU Time = 0.016 seconds AFLR3 IG : INITIAL VOLUME GRID GENERATION AFLR3 IG : Nodes, Elements = 6209 0 AFLR3 IG : Nodes, Elements = 8 10 AFLR3 IG : Nodes, Elements = 1244 7653 AFLR3 IG : Nodes, Elements = 2488 16237 AFLR3 IG : Nodes, Elements = 3732 24929 AFLR3 IG : Nodes, Elements = 4976 33940 AFLR3 IG : Nodes, Elements = 6216 42984 AFLR3 IG : Nodes, Elements = 6216 42932 AFLR3 IG : Nodes, Elements = 6216 42936 AFLR3 IG : Nodes, Elements = 6216 42931 AFLR3 IG : Nodes, Elements = 6216 42936 AFLR3 IG : Nodes, Elements = 6216 42928 AFLR3 IG : Nodes, Elements = 6216 42929 AFLR3 IG : Nodes, Elements = 6209 25549 AFLR3 IG : Nodes, Elements = 6209 25566 AFLR3 IG : Nodes, Elements = 6209 20457 AFLR3 IG : Nodes, Elements = 6209 18370 AFLR3 : CPU Time = 0.266 seconds AFLR3 GG : ISOTROPIC VOLUME GRID GENERATION AFLR3 GG : Nodes, Elements = 6209 18370 AFLR3 GG : Nodes, Elements = 9083 27216 AFLR3 GG : Nodes, Elements = 9083 39129 AFLR3 GG : Nodes, Elements = 9083 36299 AFLR3 GG : Nodes, Elements = 9083 34787 AFLR3 GG : Nodes, Elements = 11687 42926 AFLR3 GG : Nodes, Elements = 11687 54107 AFLR3 GG : Nodes, Elements = 11687 50912 AFLR3 GG : Nodes, Elements = 11687 49950 AFLR3 GG : Nodes, Elements = 14459 58534 AFLR3 GG : Nodes, Elements = 14459 70598 AFLR3 GG : Nodes, Elements = 14459 67246 AFLR3 GG : Nodes, Elements = 14459 66445 AFLR3 GG : Nodes, Elements = 17390 75436 AFLR3 GG : Nodes, Elements = 17390 88100 AFLR3 GG : Nodes, Elements = 17390 84689 AFLR3 GG : Nodes, Elements = 17390 83831 AFLR3 GG : Nodes, Elements = 20561 93518 AFLR3 GG : Nodes, Elements = 20561 106956 AFLR3 GG : Nodes, Elements = 20561 103464 AFLR3 GG : Nodes, Elements = 20561 102605 AFLR3 GG : Nodes, Elements = 23901 112791 AFLR3 GG : Nodes, Elements = 23901 126928 AFLR3 GG : Nodes, Elements = 23901 123203 AFLR3 GG : Nodes, Elements = 23901 122366 AFLR3 GG : Nodes, Elements = 27314 132747 AFLR3 GG : Nodes, Elements = 27314 147193 AFLR3 GG : Nodes, Elements = 27314 143350 AFLR3 GG : Nodes, Elements = 27314 142550 AFLR3 GG : Nodes, Elements = 30859 153312 AFLR3 GG : Nodes, Elements = 30859 168311 AFLR3 GG : Nodes, Elements = 30859 164409 AFLR3 GG : Nodes, Elements = 30859 163606 AFLR3 GG : Nodes, Elements = 34446 174469 AFLR3 GG : Nodes, Elements = 34446 189518 AFLR3 GG : Nodes, Elements = 34446 185603 AFLR3 GG : Nodes, Elements = 34446 184796 AFLR3 GG : Nodes, Elements = 38030 195632 AFLR3 GG : Nodes, Elements = 38030 210636 AFLR3 GG : Nodes, Elements = 38030 206840 AFLR3 GG : Nodes, Elements = 38030 206098 AFLR3 GG : Nodes, Elements = 41514 216606 AFLR3 GG : Nodes, Elements = 41514 231337 AFLR3 GG : Nodes, Elements = 41514 227569 AFLR3 GG : Nodes, Elements = 41514 226816 AFLR3 GG : Nodes, Elements = 44978 237248 AFLR3 GG : Nodes, Elements = 44978 251924 AFLR3 GG : Nodes, Elements = 44978 248091 AFLR3 GG : Nodes, Elements = 44978 247412 AFLR3 GG : Nodes, Elements = 48303 257424 AFLR3 GG : Nodes, Elements = 48303 271465 AFLR3 GG : Nodes, Elements = 48303 267845 AFLR3 GG : Nodes, Elements = 48303 267239 AFLR3 GG : Nodes, Elements = 51495 276839 AFLR3 GG : Nodes, Elements = 51495 290288 AFLR3 GG : Nodes, Elements = 51495 286801 AFLR3 GG : Nodes, Elements = 51495 286238 AFLR3 GG : Nodes, Elements = 54566 295468 AFLR3 GG : Nodes, Elements = 54566 308318 AFLR3 GG : Nodes, Elements = 54566 305103 AFLR3 GG : Nodes, Elements = 54566 304607 AFLR3 GG : Nodes, Elements = 57407 313138 AFLR3 GG : Nodes, Elements = 57407 325082 AFLR3 GG : Nodes, Elements = 57407 322052 AFLR3 GG : Nodes, Elements = 57407 321624 AFLR3 GG : Nodes, Elements = 60033 329508 AFLR3 GG : Nodes, Elements = 60033 340522 AFLR3 GG : Nodes, Elements = 60033 337740 AFLR3 GG : Nodes, Elements = 60033 337327 AFLR3 GG : Nodes, Elements = 62385 344387 AFLR3 GG : Nodes, Elements = 62385 354178 AFLR3 GG : Nodes, Elements = 62385 351770 AFLR3 GG : Nodes, Elements = 62385 351406 AFLR3 GG : Nodes, Elements = 64423 357521 AFLR3 GG : Nodes, Elements = 64423 366066 AFLR3 GG : Nodes, Elements = 64423 363902 AFLR3 GG : Nodes, Elements = 64423 363640 AFLR3 GG : Nodes, Elements = 66152 368828 AFLR3 GG : Nodes, Elements = 66152 376096 AFLR3 GG : Nodes, Elements = 66152 374169 AFLR3 GG : Nodes, Elements = 66152 373954 AFLR3 GG : Nodes, Elements = 67502 378007 AFLR3 GG : Nodes, Elements = 67502 383819 AFLR3 GG : Nodes, Elements = 67502 382205 AFLR3 GG : Nodes, Elements = 67502 382037 AFLR3 GG : Nodes, Elements = 68453 384892 AFLR3 GG : Nodes, Elements = 68453 388938 AFLR3 GG : Nodes, Elements = 68453 387786 AFLR3 GG : Nodes, Elements = 68453 387696 AFLR3 GG : Nodes, Elements = 69095 389624 AFLR3 GG : Nodes, Elements = 69095 392359 AFLR3 GG : Nodes, Elements = 69095 391593 AFLR3 GG : Nodes, Elements = 69095 391521 AFLR3 GG : Nodes, Elements = 69433 392535 AFLR3 GG : Nodes, Elements = 69433 393990 AFLR3 GG : Nodes, Elements = 69433 393564 AFLR3 GG : Nodes, Elements = 69433 393530 AFLR3 GG : Nodes, Elements = 69586 393989 AFLR3 GG : Nodes, Elements = 69586 394640 AFLR3 GG : Nodes, Elements = 69586 394434 AFLR3 GG : Nodes, Elements = 69586 394423 AFLR3 GG : Nodes, Elements = 69642 394591 AFLR3 GG : Nodes, Elements = 69642 394832 AFLR3 GG : Nodes, Elements = 69642 394746 AFLR3 GG : Nodes, Elements = 69642 394743 AFLR3 GG : Nodes, Elements = 69655 394782 AFLR3 GG : Nodes, Elements = 69655 394832 AFLR3 GG : Nodes, Elements = 69655 394819 AFLR3 GG : Nodes, Elements = 69655 394818 AFLR3 GG : Nodes, Elements = 69657 394824 AFLR3 GG : Nodes, Elements = 69657 394829 AFLR3 GG : Nodes, Elements = 69657 394828 AFLR3 GG : Nodes, Elements = 69657 394829 AFLR3 GG : Nodes, Elements = 69677 394889 AFLR3 GG : Nodes, Elements = 69677 394955 AFLR3 GG : Nodes, Elements = 69677 394942 AFLR3 GG : Nodes, Elements = 69677 394943 AFLR3 GG : Nodes, Elements = 69687 394973 AFLR3 GG : Nodes, Elements = 69687 395009 AFLR3 GG : Nodes, Elements = 69687 395000 AFLR3 GG : Nodes, Elements = 69687 395002 AFLR3 GG : Nodes, Elements = 69691 395014 AFLR3 GG : Nodes, Elements = 69691 395030 AFLR3 GG : Nodes, Elements = 69691 395025 AFLR3 GG : Nodes, Elements = 69691 395026 AFLR3 GG : Nodes, Elements = 69692 395029 AFLR3 GG : Nodes, Elements = 69692 395034 AFLR3 GG : Nodes, Elements = 69692 395032 AFLR3 : CPU Time = 4.887 seconds AFLR3 QI : QUALITY IMPROVEMENT AFLR3 QI : Nodes, Elements = 69692 395032 AFLR3 QI : Nodes, Elements = 68269 387028 AFLR3 QI : Nodes, Elements = 68069 385895 AFLR3 QI : Nodes, Elements = 68051 385787 AFLR3 QI : Nodes, Elements = 68049 385776 AFLR3 QI : Nodes, Elements = 68049 389538 AFLR3 QI : Nodes, Elements = 68049 385544 AFLR3 QI : Nodes, Elements = 68049 385168 AFLR3 QI : Nodes, Elements = 68049 387753 AFLR3 QI : Nodes, Elements = 68049 385223 AFLR3 QI : Nodes, Elements = 68049 385141 AFLR3 QI : Nodes, Elements = 68049 385767 AFLR3 QI : Nodes, Elements = 68049 384976 AFLR3 QI : Nodes, Elements = 68049 384826 AFLR3 QI : Nodes, Elements = 68049 385189 AFLR3 QI : Nodes, Elements = 68049 384786 AFLR3 QI : Nodes, Elements = 68049 384692 AFLR3 QI : Nodes, Elements = 68049 384987 AFLR3 QI : Nodes, Elements = 68049 384685 AFLR3 QI : Nodes, Elements = 68049 384630 AFLR3 QI : Nodes, Elements = 68053 384648 AFLR3 QI : Nodes, Elements = 68053 384951 AFLR3 QI : Nodes, Elements = 68053 384661 AFLR3 QI : Nodes, Elements = 68053 384646 AFLR3 QI : Nodes, Elements = 68053 384908 AFLR3 QI : Nodes, Elements = 68053 384645 AFLR3 QI : Nodes, Elements = 68053 384606 AFLR3 : CPU Time = 1.818 seconds AFLR3 QRG: QUALITY GRID RE-GENERATION AFLR3 QRG: Nodes, Elements = 68053 384606 AFLR3 QRG: Nodes, Elements = 68057 384626 AFLR3 QRG: Nodes, Elements = 68063 384656 AFLR3 : CPU Time = 0.055 seconds AFLR3 : DONE UG3 : DIHEDRAL ANGLE CHECK UG3 : No. Tet Elems = 384656 UG3 : Min, Max Ang = 0.7661 175.6 UG3 : Average Angle = 70.2 UG3 : No. Angle>160.0 = 48 UG3 : No. Angle>179.9 = 0 UG3 : VOL CHECK UG3 : Total-Volume = 2.11e+06 UG3 : No. Tet Elems = 384656 UG3 : Min Vol = 6.55e-10 UG3 : Average Vol = 5.48 UG3 : Total-Tet-Vol = 2.11e+06 UG3 : No. Vol160.0 = 48 UG3 : No. Angle>179.9 = 0 UG3 : VOL CHECK UG3 : Total-Volume = 2.11e+06 UG3 : No. Tet Elems = 384656 UG3 : Min Vol = 6.55e-10 UG3 : Average Vol = 5.48 UG3 : Total-Tet-Vol = 2.11e+06 UG3 : No. Vol Edge #9 (9) Internally in Loop 4 7, sen = -1! 70000D913000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 7FF8487D9780 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! 70000D913000 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! Getting surface mesh for body 7 (of 7) Body 1 (of 7) Number of nodes = 98 Number of elements = 192 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 192 Number of quadrilateral elements = 0 Body 2 (of 7) Number of nodes = 297 Number of elements = 590 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 590 Number of quadrilateral elements = 0 Body 3 (of 7) Number of nodes = 487 Number of elements = 970 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 970 Number of quadrilateral elements = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 4 (of 7) Number of nodes = 2048 Number of elements = 4096 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 4096 Number of quadrilateral elements = 0 Body 5 (of 7) Number of nodes = 152 Number of elements = 300 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 300 Number of quadrilateral elements = 0 Body 6 (of 7) Number of nodes = 624 Number of elements = 1248 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1248 Number of quadrilateral elements = 0 Body 7 (of 7) Number of nodes = 488 Number of elements = 972 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 972 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 4194 Total number of elements = 8368 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 1 number of surface: nodes - 98, elements - 192 Body 2 number of surface: nodes - 297, elements - 590 Body 3 number of surface: nodes - 487, elements - 970 Body 4 number of surface: nodes - 2048, elements - 4096 Body 5 number of surface: nodes - 152, elements - 300 Body 6 number of surface: nodes - 624, elements - 1248 Body 7 number of surface: nodes - 488, elements - 972 Getting volume mesh for body 1 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.002733 Creating surface mesh ... Surface mesh seconds: 0.000122 Recovering boundaries... Boundary recovery seconds: 0.001011 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 5.3e-05 Recovering Delaunayness... Delaunay recovery seconds: 0.001103 Refining mesh... Refinement seconds: 0.000514 Smoothing vertices... Mesh smoothing seconds: 0.006206 Improving mesh... Mesh improvement seconds: 0.0007 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 5e-05 Total running seconds: 0.012543 Statistics: Input points: 98 Input facets: 192 Input segments: 288 Input holes: 0 Input regions: 0 Mesh points: 100 Mesh tetrahedra: 232 Mesh faces: 560 Mesh faces on exterior boundary: 192 Mesh faces on input facets: 192 Mesh edges on input segments: 288 Steiner points inside domain: 2 Done meshing using TetGen! Getting volume mesh for body 2 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cylinder, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.014569 Creating surface mesh ... Surface mesh seconds: 0.000578 Recovering boundaries... Boundary recovery seconds: 0.002525 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000394 Recovering Delaunayness... Delaunay recovery seconds: 0.004183 Refining mesh... Refinement seconds: 0.017976 Smoothing vertices... Mesh smoothing seconds: 0.020755 Improving mesh... Mesh improvement seconds: 0.001729 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000159 Total running seconds: 0.062948 Statistics: Input points: 297 Input facets: 590 Input segments: 885 Input holes: 0 Input regions: 0 Mesh points: 347 Mesh tetrahedra: 1044 Mesh faces: 2383 Mesh faces on exterior boundary: 590 Mesh faces on input facets: 590 Mesh edges on input segments: 885 Steiner points inside domain: 50 Done meshing using TetGen! Getting volume mesh for body 3 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cone, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.063085 Creating surface mesh ... Surface mesh seconds: 0.00089 Recovering boundaries... Boundary recovery seconds: 0.00718 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000859 Recovering Delaunayness... Delaunay recovery seconds: 0.016751 Refining mesh... 649 insertions, added 165 points, 7218 tetrahedra in queue. 216 insertions, added 8 points, 5722 tetrahedra in queue. 288 insertions, added 12 points, 3275 tetrahedra in queue. 383 insertions, added 10 points, 312 tetrahedra in queue. 511 insertions, added 11 points, 94 tetrahedra in queue. Refinement seconds: 0.161748 Smoothing vertices... Mesh smoothing seconds: 0.055767 Improving mesh... Mesh improvement seconds: 0.001028 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.00023 Total running seconds: 0.307629 Statistics: Input points: 487 Input facets: 970 Input segments: 1455 Input holes: 0 Input regions: 0 Mesh points: 695 Mesh tetrahedra: 2810 Mesh faces: 6105 Mesh faces on exterior boundary: 970 Mesh faces on input facets: 970 Mesh edges on input segments: 1455 Steiner points inside domain: 208 Done meshing using TetGen! Getting volume mesh for body 4 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = torus, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.460151 Creating surface mesh ... Surface mesh seconds: 0.002844 Recovering boundaries... Boundary recovery seconds: 0.012595 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.003702 Recovering Delaunayness... Delaunay recovery seconds: 0.137043 Refining mesh... Refinement seconds: 0.353561 Smoothing vertices... Mesh smoothing seconds: 0.260254 Improving mesh... Mesh improvement seconds: 0.004441 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.001114 Total running seconds: 1.23584 Statistics: Input points: 2048 Input facets: 4096 Input segments: 6144 Input holes: 0 Input regions: 0 Mesh points: 2895 Mesh tetrahedra: 11267 Mesh faces: 24582 Mesh faces on exterior boundary: 4096 Mesh faces on input facets: 4096 Mesh edges on input segments: 6144 Steiner points inside domain: 847 Done meshing using TetGen! Getting volume mesh for body 5 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = sphere, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.000385 Creating surface mesh ... Surface mesh seconds: 0.000192 Recovering boundaries... Boundary recovery seconds: 0.000438 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000106 Recovering Delaunayness... Delaunay recovery seconds: 0.000589 Refining mesh... 202 insertions, added 46 points, 0 tetrahedra in queue. Refinement seconds: 0.004559 Smoothing vertices... Mesh smoothing seconds: 0.003334 Improving mesh... Mesh improvement seconds: 0.000222 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 7.5e-05 Total running seconds: 0.009967 Statistics: Input points: 152 Input facets: 300 Input segments: 450 Input holes: 0 Input regions: 0 Mesh points: 198 Mesh tetrahedra: 672 Mesh faces: 1494 Mesh faces on exterior boundary: 300 Mesh faces on input facets: 300 Mesh edges on input segments: 450 Steiner points inside domain: 46 Done meshing using TetGen! Getting volume mesh for body 6 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = boxhole, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.053286 Creating surface mesh ... Surface mesh seconds: 0.000999 Recovering boundaries... Boundary recovery seconds: 0.005416 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.001072 Recovering Delaunayness... Delaunay recovery seconds: 0.007675 Refining mesh... 831 insertions, added 225 points, 6035 tetrahedra in queue. Refinement seconds: 0.07733 Smoothing vertices... Mesh smoothing seconds: 0.061331 Improving mesh... Mesh improvement seconds: 0.001284 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000274 Total running seconds: 0.208769 Statistics: Input points: 624 Input facets: 1248 Input segments: 1872 Input holes: 0 Input regions: 0 Mesh points: 856 Mesh tetrahedra: 3198 Mesh faces: 7020 Mesh faces on exterior boundary: 1248 Mesh faces on input facets: 1248 Mesh edges on input segments: 1872 Steiner points inside domain: 232 Done meshing using TetGen! Getting volume mesh for body 7 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = bullet, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.046306 Creating surface mesh ... Surface mesh seconds: 0.000634 Recovering boundaries... Boundary recovery seconds: 0.004388 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.000442 Recovering Delaunayness... Delaunay recovery seconds: 0.036594 Refining mesh... Refinement seconds: 0.052489 Smoothing vertices... Mesh smoothing seconds: 0.030152 Improving mesh... Mesh improvement seconds: 0.000965 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000235 Total running seconds: 0.172282 Statistics: Input points: 488 Input facets: 972 Input segments: 1458 Input holes: 0 Input regions: 0 Mesh points: 676 Mesh tetrahedra: 2529 Mesh faces: 5544 Mesh faces on exterior boundary: 972 Mesh faces on input facets: 972 Mesh edges on input segments: 1458 Steiner points inside domain: 188 Done meshing using TetGen! Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 1 number of surface: nodes - 98, elements - 192 Body 2 number of surface: nodes - 297, elements - 590 Body 3 number of surface: nodes - 487, elements - 970 Body 4 number of surface: nodes - 2048, elements - 4096 Body 5 number of surface: nodes - 152, elements - 300 Body 6 number of surface: nodes - 624, elements - 1248 Body 7 number of surface: nodes - 488, elements - 972 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.002728 Creating surface mesh ... Surface mesh seconds: 0.000111 Recovering boundaries... Boundary recovery seconds: 0.001013 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 9.4e-05 Recovering Delaunayness... Delaunay recovery seconds: 0.001132 Refining mesh... Refinement seconds: 0.000481 Smoothing vertices... Mesh smoothing seconds: 0.003008 Improving mesh... Mesh improvement seconds: 8.8e-05 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 4.8e-05 Total running seconds: 0.008767 Statistics: Input points: 98 Input facets: 192 Input segments: 288 Input holes: 0 Input regions: 1 Mesh points: 100 Mesh tetrahedra: 232 Mesh faces: 560 Mesh faces on exterior boundary: 192 Mesh faces on input facets: 192 Mesh edges on input segments: 288 Steiner points inside domain: 2 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.014616 Creating surface mesh ... Surface mesh seconds: 0.000391 Recovering boundaries... Boundary recovery seconds: 0.002265 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000411 Recovering Delaunayness... Delaunay recovery seconds: 0.0043 Refining mesh... Refinement seconds: 0.017828 Smoothing vertices... Mesh smoothing seconds: 0.019963 Improving mesh... Mesh improvement seconds: 0.000357 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.00011 Total running seconds: 0.060311 Statistics: Input points: 297 Input facets: 590 Input segments: 885 Input holes: 0 Input regions: 2 Mesh points: 347 Mesh tetrahedra: 1044 Mesh faces: 2383 Mesh faces on exterior boundary: 590 Mesh faces on input facets: 590 Mesh edges on input segments: 885 Steiner points inside domain: 50 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.062076 Creating surface mesh ... Surface mesh seconds: 0.002458 Recovering boundaries... Boundary recovery seconds: 0.006842 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000691 Recovering Delaunayness... Delaunay recovery seconds: 0.016378 Refining mesh... 649 insertions, added 164 points, 7317 tetrahedra in queue. 216 insertions, added 10 points, 6108 tetrahedra in queue. 288 insertions, added 9 points, 3288 tetrahedra in queue. 383 insertions, added 12 points, 283 tetrahedra in queue. 511 insertions, added 12 points, 75 tetrahedra in queue. Refinement seconds: 0.156491 Smoothing vertices... Mesh smoothing seconds: 0.056951 Improving mesh... Mesh improvement seconds: 0.001276 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000194 Total running seconds: 0.303441 Statistics: Input points: 487 Input facets: 970 Input segments: 1455 Input holes: 0 Input regions: 3 Mesh points: 695 Mesh tetrahedra: 2796 Mesh faces: 6077 Mesh faces on exterior boundary: 970 Mesh faces on input facets: 970 Mesh edges on input segments: 1455 Steiner points inside domain: 208 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.463386 Creating surface mesh ... Surface mesh seconds: 0.003526 Recovering boundaries... Boundary recovery seconds: 0.012901 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.003704 Recovering Delaunayness... Delaunay recovery seconds: 0.136521 Refining mesh... Refinement seconds: 0.344779 Smoothing vertices... Mesh smoothing seconds: 0.256709 Improving mesh... Mesh improvement seconds: 0.004589 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.001165 Total running seconds: 1.22746 Statistics: Input points: 2048 Input facets: 4096 Input segments: 6144 Input holes: 0 Input regions: 4 Mesh points: 2905 Mesh tetrahedra: 11306 Mesh faces: 24660 Mesh faces on exterior boundary: 4096 Mesh faces on input facets: 4096 Mesh edges on input segments: 6144 Steiner points inside domain: 857 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.000372 Creating surface mesh ... Surface mesh seconds: 0.000199 Recovering boundaries... Boundary recovery seconds: 0.000335 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000214 Recovering Delaunayness... Delaunay recovery seconds: 0.000591 Refining mesh... 202 insertions, added 46 points, 0 tetrahedra in queue. Refinement seconds: 0.006603 Smoothing vertices... Mesh smoothing seconds: 0.003022 Improving mesh... Mesh improvement seconds: 0.000202 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 5.9e-05 Total running seconds: 0.011661 Statistics: Input points: 152 Input facets: 300 Input segments: 450 Input holes: 0 Input regions: 5 Mesh points: 198 Mesh tetrahedra: 672 Mesh faces: 1494 Mesh faces on exterior boundary: 300 Mesh faces on input facets: 300 Mesh edges on input segments: 450 Steiner points inside domain: 46 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.054864 Creating surface mesh ... Surface mesh seconds: 0.000708 Recovering boundaries... Boundary recovery seconds: 0.004961 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Warning: The 5-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000969 Recovering Delaunayness... Delaunay recovery seconds: 0.007567 Refining mesh... 831 insertions, added 225 points, 6035 tetrahedra in queue. Refinement seconds: 0.080154 Smoothing vertices... Mesh smoothing seconds: 0.061239 Improving mesh... Mesh improvement seconds: 0.001214 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000241 Total running seconds: 0.212015 Statistics: Input points: 624 Input facets: 1248 Input segments: 1872 Input holes: 0 Input regions: 6 Mesh points: 856 Mesh tetrahedra: 3198 Mesh faces: 7020 Mesh faces on exterior boundary: 1248 Mesh faces on input facets: 1248 Mesh edges on input segments: 1872 Steiner points inside domain: 232 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.046103 Creating surface mesh ... Surface mesh seconds: 0.002501 Recovering boundaries... Boundary recovery seconds: 0.004662 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Warning: The 5-th region point lies outside the convex hull. Warning: The 6-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0.000574 Recovering Delaunayness... Delaunay recovery seconds: 0.036931 Refining mesh... Refinement seconds: 0.050233 Smoothing vertices... Mesh smoothing seconds: 0.029398 Improving mesh... Mesh improvement seconds: 0.001421 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.000314 Total running seconds: 0.172216 Statistics: Input points: 488 Input facets: 972 Input segments: 1458 Input holes: 0 Input regions: 7 Mesh points: 676 Mesh tetrahedra: 2544 Mesh faces: 5574 Mesh faces on exterior boundary: 972 Mesh faces on input facets: 972 Mesh edges on input segments: 1458 Steiner points inside domain: 188 Done meshing using TetGen! Writing AFLR3 file .... Finished writing AFLR3 file ok test_journal (test_tetgen.TestTETGEN.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 ok test_phase (test_tetgen.TestTETGEN.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 7161, elements - 14314 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_reenter (test_tetgen.TestTETGEN.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 1) Body 1 (of 1) Number of nodes = 9605 Number of elements = 19202 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 19202 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 9605 Total number of elements = 19202 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9605, elements - 19202 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_setInput (test_tetgen.TestTETGEN.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_Cl (test_xfoil.Testxfoil_Kulfan.test_Cl) ... ok test_alpha_custom_increment (test_xfoil.Testxfoil_Kulfan.test_alpha_custom_increment) ... ok test_CL_uniform_increment (test_xfoil.Testxfoil_NACA.test_CL_uniform_increment) ... ok test_Cl (test_xfoil.Testxfoil_NACA.test_Cl) ... ok test_alpha_custom_increment (test_xfoil.Testxfoil_NACA.test_alpha_custom_increment) ... ok test_alpha_uniform_inrement (test_xfoil.Testxfoil_NACA.test_alpha_uniform_inrement) ... ok test_append (test_xfoil.Testxfoil_NACA.test_append) ... ok test_normalize (test_xfoil.Testxfoil_NACA.test_normalize) ... ok test_phase (test_xfoil.Testxfoil_NACA.test_phase) ... ok ---------------------------------------------------------------------- Ran 137 tests in 2227.919s OK (skipped=10) + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/ + make test TYPE=MINIMAL (make -C cCAPS -f aeroelastic_SU2.make) make[1]: `aeroelasticSimple_Iterative_SU2_and_MystranTest' is up to date. (make -C cCAPS -f avlTest.make) make[1]: `avlTest' is up to date. (make -C cCAPS -f awaveTest.make) make[1]: `awaveTest' is up to date. (make -C cCAPS -f frictionTest.make) make[1]: `frictionTest' is up to date. (make -C cCAPS -f fun3d.make) make[1]: Nothing to be done for `fun3d'. (make -C cCAPS -f hsm.make) make[1]: `hsm' is up to date. (make -C cCAPS -f interferenceTest.make) make[1]: `interferenceTest' is up to date. (make -C cCAPS -f msesTest.make) make[1]: `msesTest' is up to date. (make -C cCAPS -f mystran.make) make[1]: `mystranTest' is up to date. (make -C cCAPS -f pointwiseTest.make) make[1]: `pointwiseTest' is up to date. (cd ./regressionTest; ./execute_CTestRegression.sh MINIMAL) Running.... MINIMAL c-Tests All tests pass! ================================================= ================================================= (cd ./regressionTest; ./execute_PyTestRegression.sh MINIMAL) ================================================= Using python : /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/ESP_venv/bin/python ================================================= Running.... MINIMAL PyTests ================================================= + echo 'avl_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt avl_PyTest.py test; + python -u avl_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing, index = 1 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Writing surface - Wing (ID = 0) Section 1 of 3 (ID = 0) Section 2 of 3 (ID = 1) Section 3 of 3 (ID = 2) CXtot 0.0006119078685747781 CYtot -6.297054052850572e-15 CZtot -0.301286139488597 Cltot -4.785942150399569e-14 Cmtot -0.1945055953450375 Cntot -2.337286621027519e-15 Cl'tot -4.789292355835892e-14 Cn'tot -1.501668565013235e-15 CLtot 0.3012509314150652 CDtot 0.004646353488232536 CDvis 0.0 CLff 0.3009503023520406 CYff -7.725269974775701e-15 CDind 0.004646353488232536 CDff 0.004968969500907968 e 0.9669902146157809 StripForces Wing cl = [0.02994790451347, 0.08555545178933, 0.1329003532587, 0.1733025192695, 0.2100182422833, 0.2453427152547, 0.2792746996365, 0.310122128419, 0.3355632628223, 0.3531618776075, 0.3603457261533, 0.3542128805664, 0.3542128805661, 0.3603457261533, 0.3531618776088, 0.3355632628252, 0.3101221284236, 0.2792746996427, 0.2453427152623, 0.2100182422922, 0.17330251928, 0.1329003532723, 0.08555545180787, 0.0299479045408] Wing cd = [-0.004234137763162, -0.004494543653191, -0.003752887372724, -0.002739626801633, -0.001762021024326, -0.0007386538946408, 0.0005114598078391, 0.0021191472861, 0.004145189908974, 0.006609094404181, 0.009540018987595, 0.01315518814708, 0.01315518814718, 0.009540018987792, 0.006609094404439, 0.00414518990926, 0.002119147286384, 0.0005114598080994, -0.0007386538944075, -0.001762021024096, -0.002739626801367, -0.003752887372445, -0.004494543653161, -0.004234137764544] real 0m5.721s user 0m0.973s sys 0m0.611s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case avl_PyTest.py passed (as expected) ================================================= ================================================= + echo 'xfoil_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt xfoil_PyTest.py test; + python -u xfoil_PyTest.py -outLevel=0 -noPlotData + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Cl = [1.05475230552582, 1.36488869373661, 1.5660721427212, 2.03059709333553, 1.9727108312101, 1.87307153172578, 1.7605047576016] Cd = [0.0131991208675814, 0.0166540240605919, 0.0200551482562995, 0.0458671342053759, 0.0754256009396578, 0.0994469174476091, 0.12747943098905] Alpha = [0.0, 3.0, 5.0, 11.0, 13.0, 14.0, 15.0] Transition location = [0.496044114417512, 0.46137773204127, 0.442376568201482, 0.361251636517606, 0.27610414085319, 0.214381239319572, 0.132988264746184] real 0m8.733s user 0m4.986s sys 0m0.262s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case xfoil_PyTest.py passed (as expected) ================================================= ================================================= + echo 'mses_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt mses_PyTest.py test; + python -u mses_PyTest.py -outLevel=0 -noPlotData + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Cl = 0.3783793240300122 Cd = 0.007775858044231098 Cm = 0.005262576090314894 real 0m6.593s user 0m3.682s sys 0m0.374s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case mses_PyTest.py passed (as expected) ================================================= ================================================= + echo 'friction_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt friction_PyTest.py test; + python -u friction_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Setting Mach & Altitude Values Lifting Surface: Body = 1, units m XLE: 1.571946 -3.872983 0.249728 XTE: 2.536563 -3.872983 0.335335 Chord: 0.968408 Arc: 1.985181 T/C: 0.155975 Type: Wing Lifting Surface: Body = 2, units m XLE: 0.000000 0.000000 0.000000 XTE: 1.614012 0.000000 0.002015 Chord: 1.614013 Arc: 3.308635 T/C: 0.127839 Type: Wing Lifting Surface: Body = 3, units m XLE: 1.571946 3.872983 0.249728 XTE: 2.536563 3.872983 0.335335 Chord: 0.968408 Arc: 1.985181 T/C: 0.155975 Type: Wing Lifting Surface: Body = 4, units m XLE: 5.484123 0.000000 1.468246 XTE: 6.210307 0.000915 1.468246 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: VTail Lifting Surface: Body = 5, units m XLE: 5.000000 0.000000 0.500000 XTE: 6.210307 0.001525 0.500000 Chord: 1.210308 Arc: 2.471195 T/C: 0.120035 Type: VTail Lifting Surface: Body = 6, units m XLE: 5.484123 -0.968246 0.500000 XTE: 6.210307 -0.968246 0.500915 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: HTail Lifting Surface: Body = 7, units m XLE: 5.484123 0.968246 0.500000 XTE: 6.210307 0.968246 0.500915 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: HTail Body of Revolution: Body = 8, units m Arc: 0.000000 Diameter: 0.000000 Type: Fuse Body of Revolution: Body = 9, units m Arc: 3.714180 Diameter: 1.020621 Type: Fuse Body of Revolution: Body = 10, units m Arc: 2.771209 Diameter: 0.645497 Type: Fuse Body of Revolution: Body = 11, units m Arc: 1.013945 Diameter: 0.322749 Type: Fuse Body of Revolution: Body = 12, units m Arc: 0.101394 Diameter: 0.032275 Type: Fuse Number of sections 4, number of revolution sections 1 Number of Mach-Altitude cases = 2 Total drag = [0.0132, 0.01226] Form drag = [0.0033, 0.00307] Friction drag = [0.0099, 0.00919] real 0m2.855s user 0m0.810s sys 0m0.282s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case friction_PyTest.py passed (as expected) ================================================= ================================================= + echo 'tsfoil_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt tsfoil_PyTest.py test; + python -u tsfoil_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Getting results PAUSE Press the ENTER key to exit To resume execution, type go. Other input will terminate the job. Cl = 0.933417 Cd = 0.000284 Cd Wave = 0.0 Cm = -0.203436 Cp _Critcal = -1.303869 real 0m1.503s user 0m0.782s sys 0m0.213s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case tsfoil_PyTest.py passed (as expected) ================================================= ================================================= + echo 'delaundo_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt delaundo_PyTest.py test; + python -u delaundo_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 6 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Name = AirfoilTE, index = 6 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 6 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Name = AirfoilTE, index = 6 Getting mesh sizing parameters Mesh sizing name - Airfoil Mesh sizing name - AirfoilTE Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting edge discretization for body 1 Delaundo expects 2D meshes be in the x-y plane... attempting to rotate mesh through node swapping! Swapping z and y coordinates! Writing delaundo control file - delaundo.ctr Writing out *.pts file Reading delaundo mesh file - delaundoMesh.mesh Swapping y and z coordinates! Writing TECPLOT file: delaundoMesh.dat .... Finished writing TECPLOT file real 0m2.777s user 0m1.052s sys 0m0.500s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case delaundo_PyTest.py passed (as expected) ================================================= ================================================= + echo 'egadsTess_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt egadsTess_PyTest.py test; + python -u egadsTess_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = Ribs, index = 1 Name = Skin, index = 2 Name = Spar1, index = 3 Name = Rib_Root, index = 4 Name = Spar2, index = 5 Name = Rib_Root_Point, index = 6 Name = Wing, index = 7 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 2 Name = LeadingEdge, index = 1 Name = TrailingEdge, index = 2 Getting mesh sizing parameters Mesh sizing name - LeadingEdge Done getting mesh sizing parameters Getting surface mesh for body 1 (of 4) Getting surface mesh for body 2 (of 4) Getting surface mesh for body 3 (of 4) Getting surface mesh for body 4 (of 4) Body 1 (of 4) Number of nodes = 3793 Number of elements = 4001 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 4001 Body 2 (of 4) Number of nodes = 1 Number of elements = 1 Number of node elements = 1 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 3 (of 4) Number of nodes = 76 Number of elements = 55 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 55 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 4 (of 4) Number of nodes = 100 Number of elements = 73 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 73 ---------------------------- Total number of nodes = 3970 Total number of elements = 4130 Writing TECPLOT file: egadsTessMesh_Surf_0.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_1.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_2.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_3.dat .... Finished writing TECPLOT file real 0m8.668s user 0m17.045s sys 0m3.510s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case egadsTess_PyTest.py passed (as expected) ================================================= ================================================= + echo 'aflr2_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt aflr2_PyTest.py test; + python -u aflr2_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.015 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.007 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_AFLR2_Test.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! real 0m6.614s user 0m1.117s sys 0m0.505s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case aflr2_PyTest.py passed (as expected) ================================================= ================================================= + echo 'aflr4_and_aflr3_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt aflr4_and_aflr3_PyTest.py test; + python -u aflr4_and_aflr3_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Writing TECPLOT file: pyCAPS_AFLR4_AFLR3.dat .... Finished writing TECPLOT file Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Wing1 Mesh sizing name - Wing2 Done getting mesh sizing parameters Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Darwin 22.5.0 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:13PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.8.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 151209 Number of elements = 455692 Number of triangles = 14380 Number of quadrilatarals = 0 Number of tetrahedrals = 220260 Number of pyramids = 425 Number of prisms = 220627 Number of hexahedrals = 0 Writing TECPLOT file: pyCAPS_AFLR4_AFLR3_VolMesh.dat .... Finished writing TECPLOT file real 0m32.549s user 0m15.291s sys 0m0.757s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case aflr4_and_aflr3_PyTest.py passed (as expected) ================================================= ================================================= + echo 'tetgen_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt tetgen_PyTest.py test; + python -u tetgen_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 2236 Number of elements = 4468 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 4468 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 636 Number of elements = 1268 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1268 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 265 Number of elements = 526 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 526 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 3137 Total number of elements = 6262 Writing TECPLOT file: egadsTessMesh_Surf_0.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_1.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_2.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 3137, elements - 6262 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.028462 Creating surface mesh ... Surface mesh seconds: 0.007593 Recovering boundaries... Boundary recovery seconds: 0.066596 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.009335 Suppressing Steiner points ... Steiner suppression seconds: 1.6e-05 Recovering Delaunayness... Delaunay recovery seconds: 0.012614 Refining mesh... 4188 insertions, added 2185 points, 134452 tetrahedra in queue. 1394 insertions, added 466 points, 139158 tetrahedra in queue. 1858 insertions, added 424 points, 135152 tetrahedra in queue. 2477 insertions, added 444 points, 115259 tetrahedra in queue. 3302 insertions, added 499 points, 69620 tetrahedra in queue. 4401 insertions, added 357 points, 954 tetrahedra in queue. Refinement seconds: 0.812083 Smoothing vertices... Mesh smoothing seconds: 0.523725 Improving mesh... Mesh improvement seconds: 0.012994 Jettisoning redundant points. Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.002734 Total running seconds: 1.47658 Statistics: Input points: 3137 Input facets: 6262 Input segments: 9393 Input holes: 2 Input regions: 0 Mesh points: 7994 Mesh tetrahedra: 39231 Mesh faces: 81593 Mesh faces on exterior boundary: 6262 Mesh faces on input facets: 6262 Mesh edges on input segments: 9393 Steiner points inside domain: 4857 Done meshing using TetGen! Writing TECPLOT file: pyCAPS_Tetgen_Test.dat .... Binary output is not currently supported for Tecplot output ..... switching to ASCII! Finished writing TECPLOT file real 0m4.607s user 0m3.356s sys 0m0.512s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case tetgen_PyTest.py passed (as expected) ================================================= ================================================= + echo 'su2_and_AFLR2_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt su2_and_AFLR2_PyTest.py test; + python -u su2_and_AFLR2_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Saving geometry Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Darwin 22.5.0 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:59AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 545 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 545 545 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 110 222 AFLR2 IG : Nodes, Faces = 220 442 AFLR2 IG : Nodes, Faces = 330 662 AFLR2 IG : Nodes, Faces = 440 882 AFLR2 IG : Nodes, Faces = 517 1036 AFLR2 IG : Nodes, Faces = 545 1092 AFLR2 IG : Nodes, Faces = 549 1092 AFLR2 IG : Nodes, Faces = 545 545 AFLR2 : CPU Time = 0.002 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 545 545 AFLR2 GGp: Nodes, Faces = 1083 1621 AFLR2 GGp: Nodes, Faces = 1616 2687 AFLR2 GGp: Nodes, Faces = 2144 3743 AFLR2 GGp: Nodes, Faces = 2661 4777 AFLR2 GGp: Nodes, Faces = 3171 5797 AFLR2 GGp: Nodes, Faces = 3672 6799 AFLR2 GGp: Nodes, Faces = 4158 7771 AFLR2 GGp: Nodes, Faces = 4653 8761 AFLR2 GGp: Nodes, Faces = 5142 9739 AFLR2 GGp: Nodes, Faces = 5608 10671 AFLR2 GGp: Nodes, Faces = 6055 11565 AFLR2 GGp: Nodes, Faces = 6482 12419 AFLR2 GGp: Nodes, Faces = 6880 13215 AFLR2 GGp: Nodes, Faces = 7257 13969 AFLR2 GGp: Nodes, Faces = 7614 14683 AFLR2 GGp: Nodes, Faces = 7947 15349 AFLR2 GGp: Nodes, Faces = 8276 16007 AFLR2 GGp: Nodes, Faces = 8575 16605 AFLR2 GGp: Nodes, Faces = 8869 17193 AFLR2 GGp: Nodes, Faces = 9140 17735 AFLR2 GGp: Nodes, Faces = 9401 18257 AFLR2 GGp: Nodes, Faces = 9654 18763 AFLR2 GGp: Nodes, Faces = 9887 19229 AFLR2 GGp: Nodes, Faces = 10110 19675 AFLR2 GGp: Nodes, Faces = 10315 20085 AFLR2 GGp: Nodes, Faces = 10497 20449 AFLR2 GGp: Nodes, Faces = 10656 20767 AFLR2 GGp: Nodes, Faces = 10791 21037 AFLR2 GGp: Nodes, Faces = 10919 21293 AFLR2 GGp: Nodes, Faces = 11022 21499 AFLR2 GGp: Nodes, Faces = 11092 21639 AFLR2 GGp: Nodes, Faces = 11145 21745 AFLR2 GGp: Nodes, Faces = 11156 21767 AFLR2 : CPU Time = 0.048 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 11156 21767 AFLR2 QI : Nodes, Faces = 11155 819 AFLR2 QI : Nodes, Faces = 11155 10473 AFLR2 QI : Nodes, Faces = 11155 21765 AFLR2 QI : Nodes, Faces = 11154 811 AFLR2 QI : Nodes, Faces = 11154 10476 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11154 805 AFLR2 QI : Nodes, Faces = 11154 10479 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11154 911 AFLR2 QI : Nodes, Faces = 11154 10426 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11153 915 AFLR2 QI : Nodes, Faces = 11153 10423 AFLR2 QI : Nodes, Faces = 11153 917 AFLR2 QI : Nodes, Faces = 11153 10423 AFLR2 : CPU Time = 0.018 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 11153 Number of elements = 11885 Number of tris = 917 Number of quad = 10423 Writing TECPLOT file: pyCAPS_su2_aflr2.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! Writing SU2 file .... SU2 expects 2D meshes be in the x-y plane... attempting to rotate mesh! Swapping z and y coordinates! Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Airfoil Boundary condition name - InFlow Boundary condition name - OutFlow Boundary condition name - TunnelWall Done getting CFD boundary conditions Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Running SU2...... ------------------------------------------------------------------------- | ___ _ _ ___ | | / __| | | |_ ) Release 8.0.0 "Harrier" | | \__ \ |_| |/ / | | |___/\___//___| Suite (Computational Fluid Dynamics Code) | | | ------------------------------------------------------------------------- | SU2 Project Website: https://su2code.github.io | | | | The SU2 Project is maintained by the SU2 Foundation | | (http://su2foundation.org) | ------------------------------------------------------------------------- | Copyright 2012-2023, SU2 Contributors | | | | SU2 is free software; you can redistribute it and/or | | modify it under the terms of the GNU Lesser General Public | | License as published by the Free Software Foundation; either | | version 2.1 of the License, or (at your option) any later version. | | | | SU2 is distributed in the hope that it will be useful, | | but WITHOUT ANY WARRANTY; without even the implied warranty of | | MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU | | Lesser General Public License for more details. | | | | You should have received a copy of the GNU Lesser General Public | | License along with SU2. If not, see . | ------------------------------------------------------------------------- Parsing config file for zone 0 ----------------- Physical Case Definition ( Zone 0 ) ------------------- Compressible Euler equations. Mach number: 0.4. Angle of attack (AoA): 0 deg, and angle of sideslip (AoS): 0 deg. No restart solution, use the values at infinity (freestream). Dimensional simulation. The reference area is 1 m^2. The semi-span will be computed using the max y(3D) value. The reference length is 1 m. Reference origin for moment evaluation is (0, 0, 0). Surface(s) where the force coefficients are evaluated: BC_5. Surface(s) plotted in the output file: BC_5, BC_2. Input mesh file name: ../aflr2/aflr2.su2 --------------- Space Numerical Integration ( Zone 0 ) ------------------ Roe (with entropy fix = 0.001) solver for the flow inviscid terms. Standard Roe without low-dissipation function. Second order integration in space, with slope limiter. Venkatakrishnan slope-limiting method, with constant: 0.05. The reference element size is: 1. Gradient for upwind reconstruction: inverse-distance weighted Least-Squares. Gradient for viscous and source terms: inverse-distance weighted Least-Squares. --------------- Time Numerical Integration ( Zone 0 ) ------------------ Local time stepping (steady state simulation). Euler implicit method for the flow equations. FGMRES is used for solving the linear system. Using a ILU(0) preconditioning. Convergence criteria of the linear solver: 1e-06. Max number of linear iterations: 10. V Multigrid Cycle, with 2 multigrid levels. Damping factor for the residual restriction: 0.75. Damping factor for the correction prolongation: 0.75. No CFL adaptation. +-------------------------------------------+ | MG Level| Presmooth|PostSmooth|CorrectSmo| +-------------------------------------------+ | 0| 1| 0| 0| | 1| 2| 0| 0| | 2| 3| 0| 0| +-------------------------------------------+ Courant-Friedrichs-Lewy number: 10 ------------------ Convergence Criteria ( Zone 0 ) --------------------- Maximum number of solver subiterations: 10. Begin convergence monitoring at iteration 5. Residual minimum value: 1e-14. Cauchy series min. value: 1e-10. Number of Cauchy elements: 100. Begin windowed time average at iteration 0. -------------------- Output Information ( Zone 0 ) ---------------------- File writing frequency: +------------------------------------+ | File| Frequency| +------------------------------------+ | RESTART| 250| | SURFACE_CSV| 250| | TECPLOT| 250| | SURFACE_TECPLOT| 250| +------------------------------------+ Writing the convergence history file every 1 inner iterations. Writing the screen convergence history every 1 inner iterations. The tabular file format is CSV (.csv). Convergence history file name: history. Forces breakdown file name: forces_breakdown_pyCAPS_su2_aflr2.dat. Surface file name: surface_flow_pyCAPS_su2_aflr2. Volume file name: flow_pyCAPS_su2_aflr2. Restart file name: restart_flow_pyCAPS_su2_aflr2.dat. ------------- Config File Boundary Information ( Zone 0 ) --------------- +-----------------------------------------------------------------------+ | Marker Type| Marker Name| +-----------------------------------------------------------------------+ | Euler wall| BC_5| | | BC_2| +-----------------------------------------------------------------------+ | Inlet boundary| BC_4| +-----------------------------------------------------------------------+ | Outlet boundary| BC_3| +-----------------------------------------------------------------------+ -------------------- Output Preprocessing ( Zone 0 ) -------------------- WARNING: SURFACE_PRESSURE_DROP can only be computed for at least 2 surfaces (outlet, inlet, ...) Screen output fields: TIME_ITER, OUTER_ITER, INNER_ITER, CUR_TIME, TIME_STEP, WALL_TIME, RMS_DENSITY, RMS_MOMENTUM-X, RMS_MOMENTUM-Y, RMS_ENERGY, EFFICIENCY History output group(s): ITER, TIME_DOMAIN, WALL_TIME, RMS_RES, AERO_COEFF Convergence field(s): RMS_DENSITY Warning: No (valid) fields chosen for time convergence monitoring. Time convergence monitoring inactive. Volume output fields: COORDINATES, SOLUTION, PRIMITIVE ------------------- Geometry Preprocessing ( Zone 0 ) ------------------- Two dimensional problem. 11153 grid points. 11340 volume elements. 4 surface markers. 98 boundary elements in index 0 (Marker = BC_2). 24 boundary elements in index 1 (Marker = BC_3). 24 boundary elements in index 2 (Marker = BC_4). 399 boundary elements in index 3 (Marker = BC_5). 917 triangles. 10423 quadrilaterals. Setting point connectivity. Renumbering points (Reverse Cuthill McKee Ordering). Recomputing point connectivity. Setting element connectivity. Checking the numerical grid orientation. There has been a re-orientation of 917 TRIANGLE volume elements. There has been a re-orientation of 10423 QUADRILATERAL volume elements. There has been a re-orientation of 73 LINE surface elements. Identifying edges and vertices. Setting the control volume structure. Area of the computational grid: 999.878. Searching for the closest normal neighbors to the surfaces. Storing a mapping from global to local point index. Compute the surface curvature. Max K: 385.665. Mean K: 2.15881. Standard deviation K: 17.7215. Checking for periodicity. Computing mesh quality statistics for the dual control volumes. +--------------------------------------------------------------+ | Mesh Quality Metric| Minimum| Maximum| +--------------------------------------------------------------+ | Orthogonality Angle (deg.)| 49.3184| 90| | CV Face Area Aspect Ratio| 1.00035| 33.4982| | CV Sub-Volume Ratio| 1.00001| 7.89914| +--------------------------------------------------------------+ Setting the multigrid structure. +-------------------------------------------+ | MG Level| CVs|Aggl. Rate| CFL| +-------------------------------------------+ | 0| 11153| 1/1.00| 10| | 1| 2702| 1/4.13| 7.38309| | 2| 549| 1/4.92| 4.99198| +-------------------------------------------+ Finding max control volume width. Wetted area = 2.06265 m. Area projection in the x-plane = 0.211192 m, y-plane = 0.997011 m. Max. coordinate in the x-direction = 1 m, y-direction = 0.102037 m. Min. coordinate in the x-direction = 0.000323229 m, y-direction = -0.108492 m. Checking if Euler & Symmetry markers are straight/plane: Boundary marker BC_5 is NOT a single straight. Boundary marker BC_2 is NOT a single straight. Computing wall distances. -------------------- Solver Preprocessing ( Zone 0 ) -------------------- Inviscid flow: Computing density based on free-stream temperature and pressure using the ideal gas law. Force coefficients computed using free-stream values. -- Models: +------------------------------------------------------------------------------+ | Viscosity Model| Conductivity Model| Fluid Model| +------------------------------------------------------------------------------+ | -| -| STANDARD_AIR| +------------------------------------------------------------------------------+ -- Fluid properties: +------------------------------------------------------------------------------+ | Name| Dim. value| Ref. value| Unit|Non-dim. value| +------------------------------------------------------------------------------+ | Gas Constant| 287.058| 1| N.m/kg.K| 287.058| | Spec. Heat Ratio| -| -| -| 1.4| +------------------------------------------------------------------------------+ -- Initial and free-stream conditions: +------------------------------------------------------------------------------+ | Name| Dim. value| Ref. value| Unit|Non-dim. value| +------------------------------------------------------------------------------+ | Static Pressure| 101325| 1| Pa| 101325| | Density| 1.22498| 1| kg/m^3| 1.22498| | Temperature| 288.15| 1| K| 288.15| | Total Energy| 216054| 1| m^2/s^2| 216054| | Velocity-X| 136.119| 1| m/s| 136.119| | Velocity-Y| 0| 1| m/s| 0| | Velocity Magnitude| 136.119| 1| m/s| 136.119| +------------------------------------------------------------------------------+ | Mach Number| -| -| -| 0.4| +------------------------------------------------------------------------------+ Initialize Jacobian structure (Euler). MG level: 0. Initialize Jacobian structure (Euler). MG level: 1. Initialize Jacobian structure (Euler). MG level: 2. ------------------- Numerics Preprocessing ( Zone 0 ) ------------------- ----------------- Integration Preprocessing ( Zone 0 ) ------------------ ------------------- Iteration Preprocessing ( Zone 0 ) ------------------ Euler/Navier-Stokes/RANS fluid iteration. ------------------------------ Begin Solver ----------------------------- Simulation Run using the Single-zone Driver WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations +----------------------------------------------------------------------------------------------------------------------------------------------+ | Time_Iter| Outer_Iter| Inner_Iter| Cur_Time| Time_Step| Time(sec)| rms[Rho]| rms[RhoU]| rms[RhoV]| rms[RhoE]| CEff| +----------------------------------------------------------------------------------------------------------------------------------------------+ | 0| 0| 0| 0.0000e+00| 0.0000e+00| 1.0987e-01| 0.071067| 2.739763| 1.616077| 5.599701| 0.055267| | 0| 0| 1| 0.0000e+00| 0.0000e+00| 1.0695e-01| -0.447576| 2.193837| 1.571349| 5.070382| 0.046028| | 0| 0| 2| 0.0000e+00| 0.0000e+00| 1.0602e-01| -0.561159| 2.074597| 1.490746| 4.953698| 0.033910| | 0| 0| 3| 0.0000e+00| 0.0000e+00| 1.0462e-01| -0.723326| 1.921155| 1.390756| 4.790278| 0.049695| | 0| 0| 4| 0.0000e+00| 0.0000e+00| 1.0444e-01| -0.876572| 1.825653| 1.275093| 4.637161| 0.133976| | 0| 0| 5| 0.0000e+00| 0.0000e+00| 1.0396e-01| -1.029177| 1.759196| 1.156078| 4.482282| 0.368542| | 0| 0| 6| 0.0000e+00| 0.0000e+00| 1.0426e-01| -1.215334| 1.704909| 1.114966| 4.286060| 0.974759| | 0| 0| 7| 0.0000e+00| 0.0000e+00| 1.0426e-01| -1.329970| 1.644937| 1.145030| 4.116982| 2.716332| | 0| 0| 8| 0.0000e+00| 0.0000e+00| 1.0384e-01| -1.270672| 1.570739| 1.155400| 4.139480| 16.569216| | 0| 0| 9| 0.0000e+00| 0.0000e+00| 1.0281e-01| -1.221243| 1.499646| 1.130319| 4.189559| -6.277653| ----------------------------- Solver Exit ------------------------------- Maximum number of iterations reached (ITER = 10) before convergence. +-----------------------------------------------------------------------+ | Convergence Field | Value | Criterion | Converged | +-----------------------------------------------------------------------+ | rms[Rho]| -1.22124| < -14| No| +-----------------------------------------------------------------------+ ------------------------------------------------------------------------- +-----------------------------------------------------------------------+ | File Writing Summary | Filename | +-----------------------------------------------------------------------+ |SU2 binary restart |restart_flow_pyCAPS_su2_aflr2.dat | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |CSV file |surface_flow_pyCAPS_su2_aflr2.csv | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |Tecplot binary |flow_pyCAPS_su2_aflr2.szplt | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |Tecplot binary surface |surface_flow_pyCAPS_su2_aflr2.szplt| Error in tecFileWriterClose: Not all node map values for zone 1 have been written. Currently need 994 more values.0: Error finishing Tecplot file output. Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). +-----------------------------------------------------------------------+ --------------------------- Finalizing Solver --------------------------- Deleted CNumerics container. Deleted CIntegration container. Deleted CSolver container. Deleted CIteration container. Deleted CInterface container. Deleted CGeometry container. Deleted CFreeFormDefBox class. Deleted CSurfaceMovement class. Deleted CVolumetricMovement class. Deleted CConfig container. Deleted nInst container. Deleted COutput class. ------------------------------------------------------------------------- ------------------------- Exit Success (SU2_CFD) ------------------------ Total Force - Pressure + Viscous Cl = 0.072253 Cd = -0.01151 Cmz = 0.070975 Cx = -0.01151 Cy = 0.072253 Pressure Contribution Cl_p = 0.072253 Cd_p = -0.01151 Cmz_p = 0.070975 Cx_p = -0.01151 Cy_p = 0.072253 Viscous Contribution Cl_v = 0.0 Cd_v = 0.0 Cmz_v = 0.0 Cx_v = 0.0 Cy_v = 0.0 real 0m4.429s user 0m2.896s sys 0m0.931s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case su2_and_AFLR2_PyTest.py passed (as expected) ================================================= ./execute_PyTestRegression.sh: line 198: ulimit: stack size: cannot modify limit: Operation not permitted ================================================= + echo 'cart3d_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt cart3d_PyTest.py test; + python -u cart3d_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing, index = 1 Body size = 3.796888 Tessellating body 1 with MaxEdge = 0.094922 Sag = 0.003797 Angle = 15.000000 Executing: autoInputs -r 30.000000 -nDiv 6 -maxR 9 > autoInputs.out Executing: ./aero.csh > aero.out C_A 0.023693377 C_Y -0.0012129282 C_N 0.065395003 C_D 0.025961197 C_S -0.0012129282 C_L 0.064528279 C_l 0.0510971 C_m 0.000248187 C_n -0.017525 C_M_x 0.0504544 C_M_y 0.000248187 C_M_z -0.0192976 real 0m28.804s user 0m36.649s sys 0m0.979s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case cart3d_PyTest.py passed (as expected) ================================================= ================================================= + echo 'astros_ThreeBar_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt astros_ThreeBar_PyTest.py test; + python -u astros_ThreeBar_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt WARNING:: WireBody is non-manifold Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = boundary, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = force, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = bar1, index = 1 Name = bar2, index = 2 Name = bar3, index = 3 capsIgnore attribute found for edge - 3!! capsIgnore attribute found. Removing unneeded nodes and elements from mesh! Removing unused nodes... Removed 0 (out of 4) unused nodes! Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 4 Number of elements = 3 Elemental Nodes = 0 Elemental Rods = 3 Elemental Tria3 = 0 Elemental Quad4 = 0 Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 3 Property name - bar1 Property name - bar2 Property name - bar3 Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - BoundaryCondition No "constraintType" specified for Constraint tuple BoundaryCondition, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Connect tuple is NULL - Using defaults Getting FEA loads....... Number of loads - 1 Load name - appliedForce Done getting FEA loads Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Getting FEA analyses....... Number of analyses - 1 Analysis name - SingleLoadCase Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing Astros instruction file.... Writing analysis cards Writing load ADD cards Writing constraint cards--each subcase individually Writing load cards Writing material cards Writing property cards real 0m14.784s user 0m0.722s sys 0m0.351s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case astros_ThreeBar_PyTest.py passed (as expected) ================================================= ================================================= + echo 'astros_Flutter_15degree.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt astros_Flutter_15degree.py test; + python -u astros_Flutter_15degree.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Root_Point, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = Root_Point, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = WingSurface, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 1 Name = Root, index = 1 Mapping capsResponse attributes ................ Number of unique capsResponse attributes = 0 Mapping capsReference attributes ................ Number of unique capsReference attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Edge, index = 1 Name = Body, index = 2 Name = Root, index = 3 Name = Wing, index = 4 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 96 Number of elements = 77 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 77 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 97 Combined Number of elements = 78 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 77 Getting vortex lattice surface data VLM surface name - WingSurface Done getting vortex lattice surface data Getting FEA vortex lattice mesh Surface 1: Number of points found for aero-spline = 96 (Re-)Combining all aerodynamic surfaces into a 'Wing', 'Canard', and/or 'Fin' single surfaces ! Aero_Reference value is NULL - No aero reference parameters set Getting FEA materials....... Number of materials - 1 Material name - aluminum No "materialType" specified for Material tuple aluminum, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Body Property name - Edge Done getting FEA properties Updating mesh element types based on properties input Getting FEA constraints....... Number of constraints - 1 Constraint name - PointConstraint No "constraintType" specified for Constraint tuple PointConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Support tuple is NULL - No supports applied Getting FEA connections....... Number of connection tuples - 1 Connection name - Root No "groupName" specified for Connection tuple Root! Looking for automatic connections from the use of capsConnectLink for Root 8 automatic connections were made for capsConnect Root (node id 97) Done getting FEA connections Load tuple is NULL - No loads applied Optimization Control tuple is NULL - Default optimization control is used Getting FEA optimization control....... Done getting FEA Optimization Control Design_Variable tuple is NULL - No design variables applied Design_Constraint tuple is NULL - No design constraints applied Getting FEA analyses....... Number of analyses - 1 Analysis name - Flutter Done getting FEA analyses Writing Astros grid and connectivity file (in free field format) .... Finished writing Astros grid file Writing subElement types (if any) - appending mesh file Writing connection cards - appending mesh file Writing Astros instruction file.... Writing aero card Writing analysis cards Writing constraint cards--each subcase individually Writing material cards Writing property cards Writing unsteady aeroelastic cards Note: The following floating-point exceptions are signalling: IEEE_UNDERFLOW_FLAG IEEE_DENORMAL real 0m6.795s user 0m0.990s sys 0m0.532s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case astros_Flutter_15degree.py passed (as expected) ================================================= ================================================= + echo 'masstran_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt masstran_PyTest.py test; + python -u masstran_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Getting results mass properties..... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Ribs_and_Spars, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Getting surface mesh for body 1 (of 1) Body 1 (of 1) Number of nodes = 1159 Number of elements = 798 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 798 ---------------------------- Total number of nodes = 1159 Total number of elements = 798 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Rib_Constraint, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Ribs_and_Spars, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1159 Number of elements = 798 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 798 Getting FEA materials....... Number of materials - 2 Material name - Madeupium Material name - Unobtainium No "materialType" specified for Material tuple Unobtainium, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - Ribs_and_Spars No "material" specified for Property tuple Ribs_and_Spars, defaulting to an index of 1 Done getting FEA properties Updating mesh element types based on properties input Area 3.428666259747 Mass 5383.006027803 Centroid [1.222256036874, 0.1634981473778, -4.234377369776e-11] CG [1.222256036874, 0.1634981473778, -4.234490614246e-11] Ixx 22133.97306033 Iyy 23448.30046709 Izz 1389.213122582 Ixy 164.2055275657 Ixz -7.454850140458e-06 Iyz 1.707682988152e-06 I [22133.97306033, 23448.30046709, 1389.213122582, 164.2055275657, -7.454850140458e-06, 1.707682988152e-06] II [[22133.97306033, -164.2055275657, 7.454850140458e-06], [-164.2055275657, 23448.30046709, -1.707682988152e-06], [7.454850140458e-06, -1.707682988152e-06, 1389.213122582]] real 0m15.523s user 0m10.672s sys 0m6.245s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case masstran_PyTest.py passed (as expected) ================================================= ================================================= + echo 'hsm_SingleLoadCase_PyTest.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt hsm_SingleLoadCase_PyTest.py test; + python -u hsm_SingleLoadCase_PyTest.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = plateEdge, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = plate, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Creating HSM BEM Getting quads for BEM! Number of nodal coordinates = 81 Number of elements = 96 Elemental Tria3 = 0 Elemental Quad4 = 64 Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Writing Nastran grid and connectivity file (in small field format) .... Finished writing Nastran grid file Max Adjacency set to = 9 MaxDim = 32, numBCEdge = 0, numBCNode = 32, numJoint = 0 NEED TO ADD MORE CODE TO hsm_setGlobalParameter NEED TO ADD MORE CODE TO hsm_setNodeBCParameter NumBCNode = 32 ->HSMSOL Matrix Non-zero Entries = 5741 Converging HSM equation system ... iter dr dd dp rlx max 1 0.130E-01 0.165E+00 0.153E-04 1.000 ddy @ ( 0.25 0.06 0.00) 1* 0.260E-03 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.12 0.00) 1* 0.240E-05 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.09 0.00) 2 0.379E-02 0.505E-01 0.750E-04 1.000 ddy @ ( 0.25 0.19 0.00) 2* 0.420E-04 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.12 0.00) 3 0.168E-02 0.225E-01 0.208E-04 1.000 ddy @ ( 0.25 0.06 0.00) 4 0.325E-03 0.427E-02 0.250E-05 1.000 ddy @ ( 0.25 0.19 0.00) 5 0.794E-05 0.112E-03 0.170E-06 1.000 ddy @ ( 0.25 0.19 0.00) 6 0.387E-08 0.598E-07 0.197E-09 1.000 ddy @ ( 0.25 0.06 0.00) 7 0.848E-15 0.147E-13 0.924E-15 1.000 ddy @ ( 0.25 0.19 0.00) Converging n vectors ... it = 1 dn = 0.788E-01 rlx = 1.000 ( 59 ) it = 2 dn = 0.566E-16 rlx = 1.000 ( 35 ) Converging e1,e2 vectors ... it = 1 de = 0.691E-03 rlx = 1.000 ( 45 ) it = 2 de = 0.404E-04 rlx = 1.000 ( 9 ) it = 3 de = 0.142E-07 rlx = 1.000 ( 46 ) it = 4 de = 0.521E-11 rlx = 1.000 ( 62 ) Calculating strains, stress resultants ... Writing HSM solution to Tecplot File - HSMSingleLoadPlate.dat real 0m8.502s user 0m0.961s sys 0m0.210s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case hsm_SingleLoadCase_PyTest.py passed (as expected) ================================================= ================================================= + echo '../corsairlite/qp.py test;' + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt ../corsairlite/qp.py test; + python -u ../corsairlite/qp.py -outLevel=0 + tee -a /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Objective --------- -21.56250 dimensionless Variables --------- x : 1.62500 [-] The X variable y : 1.37500 [-] The Y variable Constants --------- c : 3 [-] A constant c2 : 2 [-] A constant Sensitivities ------------- c : + 0.46957 A constant c2 : - 0.22338 A constant Solve Report ------------ Solve Method : Quadratic Program Classification : Convex Solver : cvxopt real 2m9.973s user 0m2.004s sys 0m0.343s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case ../corsairlite/qp.py passed (as expected) ================================================= ************************************************* ************************************************* ================================================= Did not run examples for: abaqus awave Mystran ================================================= All tests pass! ================================================= ================================================= + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64 + mkdir -p /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/test/plugs + cd /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/test/plugs + sort -f + find /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs -name 'plugs0*.csm' + awk '{ n=split($0, a, ";"); for(i=1; i<=n; i+=2) print a[i] }' /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP/data/plugs/plugsFailure.txt + cp /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/JenkinsESP/data/plugs/plugsIgnore.txt . + set +x Testing CSM files: data/Plugs/plugs0a.csm data/Plugs/plugs0b.csm data/Plugs/plugs0c.csm data/Plugs/plugs0d.csm total 50264 -rw-r--r-- 1 jenkins staff 1.2M Apr 3 11:17 ASTRO.D01 -rw-r--r-- 1 jenkins staff 277K Apr 3 11:17 ASTRO.IDX -rwxr-xr-x 1 jenkins staff 145K Apr 3 11:18 ESPxddm -rwxr-xr-x 1 jenkins staff 226K Apr 3 11:17 Slugs -rwxr-xr-x 1 jenkins staff 101K Apr 3 11:17 TestFit -rwxr-xr-x 1 jenkins staff 1.8M Apr 3 11:17 avl -rwxr-xr-x 1 jenkins staff 34K Apr 3 11:18 cart3dTest -rwxr-xr-x 1 jenkins staff 34K Apr 3 11:15 egads2cart -rwxr-xr-x 1 jenkins staff 85K Apr 3 11:18 egads2cgt -rwxr-xr-x 1 jenkins staff 50K Apr 3 11:18 friction -rwxr-xr-x 1 jenkins staff 8.9M Apr 3 11:17 mastros.exe -rwxr-xr-x 1 jenkins staff 2.2M Apr 3 11:17 mses -rwxr-xr-x 1 jenkins staff 2.5M Apr 3 11:17 mset -rwxr-xr-x 1 jenkins staff 34K Apr 3 11:17 phaseUtil -rw-r--r-- 1 jenkins staff 1.6K Apr 3 11:21 pod.egads -rw-r--r-- 1 jenkins staff 0B Apr 3 11:21 port7681.jrnl -rwxr-xr-x 1 jenkins staff 1.2M Apr 3 11:17 pplot -rwxr-xr-x 1 jenkins staff 1.2M Apr 3 11:17 pxplot -rwxr-xr-x 1 jenkins staff 84K Apr 3 11:16 sensCSM -rwxr-xr-x 1 jenkins staff 222K Apr 3 11:16 serveCSM -rwxr-xr-x 1 jenkins staff 190K Apr 3 11:16 serveESP -rwxr-xr-x 1 jenkins staff 51K Apr 3 11:15 vCurvature -rwxr-xr-x 1 jenkins staff 51K Apr 3 11:15 vGeom -rwxr-xr-x 1 jenkins staff 36K Apr 3 11:15 vTess -rwxr-xr-x 1 jenkins staff 1.9M Apr 3 11:15 vTesstatic -rw-r--r-- 1 jenkins staff 195K Apr 3 11:21 wingMultiModel.bdf -rwxr-xr-x 1 jenkins staff 1.8M Apr 3 11:17 xfoil ( 1 / 4 ) data/Plugs/plugs0a.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0a.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/verify_7.8.0/plugs0a.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 converged, dmax= 5.416e-07, rmsbest= 6.132e-04, reclass= 4562, unclass= 0 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 5.366e-07, rmsbest= 7.097e-04, reclass= 2, unclass= 0 Starting pass 3 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m27.445s user 0m8.223s sys 0m0.610s ++ set +x data/Plugs/plugs0a passed (as expected) -------------------------------------------------------------------------------------------------- ( 2 / 4 ) data/Plugs/plugs0b.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0b.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/verify_7.8.0/plugs0b.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 converged, dmax= 3.039e-07, rmsbest= 4.036e-02, reclass= 4562, unclass= 0 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 2.814e-07, rmsbest= 1.924e-03, reclass= 1033, unclass= 0 Starting pass 3 (of 25) of phase2 Pass 3 converged, dmax= 4.494e-07, rmsbest= 5.920e-04, reclass= 473, unclass= 0 Starting pass 4 (of 25) of phase2 Pass 4 converged, dmax= 5.366e-07, rmsbest= 7.097e-04, reclass= 17, unclass= 0 Starting pass 5 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m9.188s user 0m11.304s sys 0m0.111s ++ set +x data/Plugs/plugs0b passed (as expected) -------------------------------------------------------------------------------------------------- ( 3 / 4 ) data/Plugs/plugs0c.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0c.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/verify_7.8.0/plugs0c.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 ran out of iterations, rmsbest= 1.677e-01, reclass= 4562, unclass= 554 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 4.016e-07, rmsbest= 6.330e-02, reclass= 1676, unclass= 0 Starting pass 3 (of 25) of phase2 Pass 3 converged, dmax= 1.881e-07, rmsbest= 8.736e-03, reclass= 791, unclass= 0 Starting pass 4 (of 25) of phase2 Pass 4 converged, dmax= 3.081e-07, rmsbest= 1.037e-03, reclass= 428, unclass= 0 Starting pass 5 (of 25) of phase2 Pass 5 converged, dmax= 5.816e-07, rmsbest= 7.097e-04, reclass= 109, unclass= 0 Starting pass 6 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m38.174s user 0m40.074s sys 0m0.270s ++ set +x data/Plugs/plugs0c passed (as expected) -------------------------------------------------------------------------------------------------- ( 4 / 4 ) data/Plugs/plugs0d.csm; ++ /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/bin/serveCSM /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0d.csm -outLevel 0 -plugs 25 -plot /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/plugs0.cloud -batch -verify -jrnl /Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl WARNING:: cannot open "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/verify_7.8.0/plugs0d.vfy", so verification is being skipped =================================== PLUGS with 4562 points in the cloud =================================== PLUGS phase1: match bounding boxes PLUGS phase2: match cloud points Starting pass 1 (of 25) of phase2 Pass 1 ran out of iterations, rmsbest= 1.677e-01, reclass= 4562, unclass= 554 Starting pass 2 (of 25) of phase2 Pass 2 converged, dmax= 4.016e-07, rmsbest= 6.330e-02, reclass= 1676, unclass= 0 Starting pass 3 (of 25) of phase2 Pass 3 converged, dmax= 1.881e-07, rmsbest= 8.736e-03, reclass= 791, unclass= 0 Starting pass 4 (of 25) of phase2 Pass 4 converged, dmax= 3.081e-07, rmsbest= 1.037e-03, reclass= 428, unclass= 0 Starting pass 5 (of 25) of phase2 Pass 5 converged, dmax= 5.816e-07, rmsbest= 7.097e-04, reclass= 109, unclass= 0 Starting pass 6 (of 25) of phase2 Phase2 passes converged because points are classified same as previous pass ==> Opening input journal file "/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64/EngSketchPad/data/Plugs/buildFinal.jrnl" ==> Closing input journal file ==> serveCSM completed successfully with no verification data real 0m42.895s user 0m43.652s sys 0m0.288s ++ set +x data/Plugs/plugs0d passed (as expected) -------------------------------------------------------------------------------------------------- [Execution node] check if [macys] is in [[viggen]] Run condition [Execution node ] preventing perform for step [Execute shell] [Execution node] check if [macys] is in [[windows10x64]] Run condition [Execution node ] preventing perform for step [Execute Windows batch command] [GNU C Compiler (gcc)] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64') [GNU C Compiler (gcc)] Successfully parsed console log [GNU C Compiler (gcc)] -> found 0 issues (skipped 0 duplicates) [GNU C Compiler (gcc)] Skipping post processing [GNU C Compiler (gcc)] No filter has been set, publishing all 0 issues [GNU C Compiler (gcc)] Repository miner is not configured, skipping repository mining [Clang] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64') [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64') [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Successfully parsed console log [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64') [Clang] Skipping post processing [Clang] No filter has been set, publishing all 0 issues [Clang] Repository miner is not configured, skipping repository mining [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64') [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Skipping post processing [Static Analysis] No filter has been set, publishing all 0 issues [Static Analysis] Repository miner is not configured, skipping repository mining [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Parsing console log (workspace: '/Users/jenkins/workspace/ESP_Beta/7.8/DARWIN64') [Static Analysis] Skipping post processing [Static Analysis] No filter has been set, publishing all 0 issues [Static Analysis] Repository miner is not configured, skipping repository mining [Static Analysis] Reference build recorder is not configured [Static Analysis] No valid reference build found [Static Analysis] All reported issues will be considered outstanding [Static Analysis] No quality gates have been set - skipping [Static Analysis] Health report is disabled - skipping [Static Analysis] Created analysis result for 0 issues (found 0 new issues, fixed 0 issues) [Static Analysis] Attaching ResultAction with ID 'analysis' to build 'ESP_Beta/CASREV=7.8,ESP_ARCH=DARWIN64,buildnode=macys #701'. [Checks API] No suitable checks publisher found. [WS-CLEANUP] Deleting project workspace... [WS-CLEANUP] Deferred wipeout is used... [WS-CLEANUP] done Finished: SUCCESS