Started by upstream project "ESP_Beta" build number 687 originally caused by: Started by remote host 18.18.33.14 Running as SYSTEM [EnvInject] - Loading node environment variables. Building remotely on reynolds-centOS7 in workspace /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7 [WS-CLEANUP] Deleting project workspace... [WS-CLEANUP] Deferred wipeout is used... Checking out a fresh workspace because there's no workspace at /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7 Cleaning local Directory . Checking out svn+ssh://acdl.mit.edu/home/svnroot/OpenCSM/trunk/Jenkins at revision '2024-03-06T07:46:53.384 -0500' --quiet Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ At revision 2473 Checking out a fresh workspace because /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP doesn't exist Cleaning local Directory JenkinsESP Checking out svn+ssh://acdl.mit.edu/home/svnroot/JenkinsESP at revision '2024-03-06T07:46:53.384 -0500' --quiet Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ Using sole credentials 3614a4cf-01de-4393-97de-73734b7dd5a2 in realm ‘svn+ssh://acdl.mit.edu’ At revision 849 No changes for svn+ssh://acdl.mit.edu/home/svnroot/OpenCSM/trunk/Jenkins since the previous build No changes for svn+ssh://acdl.mit.edu/home/svnroot/JenkinsESP since the previous build [GitCheckoutListener] SCM 'hudson.scm.SubversionSCM' is not of type GitSCM Run condition [Execution node ] enabling prebuild for step [Execute shell] Run condition [Execution node ] enabling prebuild for step [Execute shell] Run condition [Execution node ] enabling prebuild for step [Execute Windows batch command] [Execution node] check if [reynolds-centOS7] is in [[macys, macys_VM, reynolds, reynolds-centOS7, reynolds-centOS8, reynolds-ubuntu18]] Run condition [Execution node ] enabling perform for step [Execute shell] [reynolds-centOS7] $ /bin/bash -ex /tmp/jenkins130978921698699245.sh + bash -ex /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP/ESP_Beta.sh + source /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP/ESP_Env.sh ++ export OCCTREF=7.6 ++ OCCTREF=7.6 ++ AFLR_VERSION=11.5.9 ++ SU2_VERSION=8.0.0 ++ TETGEN_VERSION=1.6.0 ++ VSP_VERSION=3.34.0 ++ export PYTHON_VERSION=3.11.6 ++ PYTHON_VERSION=3.11.6 ++ export EFCOMP=gfortran ++ EFCOMP=gfortran ++ [[ reynolds-centOS7 == \r\e\y\n\o\l\d\s ]] ++ [[ LINUX64 == *\.\i\f\o\r\t ]] ++ '[' -f /opt/intel/oneapi/setvars.sh ']' ++ '[' -f /home/jenkins/.bashrc ']' ++ source /home/jenkins/.bashrc +++ '[' -f /etc/bashrc ']' +++ . /etc/bashrc ++++ '[' '' ']' ++++ shopt -q login_shell ++++ '[' 1001 -gt 199 ']' +++++ /usr/bin/id -gn +++++ /usr/bin/id -un ++++ '[' jenkins = jenkins ']' ++++ umask 002 ++++ SHELL=/bin/bash ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/256term.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/256term.sh +++++ local256= +++++ '[' -n '' ']' +++++ '[' -n '' ']' +++++ unset local256 ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/abrt-console-notification.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/abrt-console-notification.sh +++++ tty -s +++++ return 0 ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/bash_completion.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/bash_completion.sh +++++ '[' -z '4.2.46(2)-release' -o -z '' -o -n '' ']' +++++ return ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/colorgrep.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/colorgrep.sh +++++ /usr/libexec/grepconf.sh -c +++++ alias 'grep=grep --color=auto' +++++ alias 'egrep=egrep --color=auto' +++++ alias 'fgrep=fgrep --color=auto' ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/colorls.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/colorls.sh +++++ '[' '!' -t 0 ']' +++++ return ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/flatpak.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/flatpak.sh +++++ '[' /exports/share:/var/lib/flatpak/exports/share:/usr/local/share:/usr/share = /home/jenkins/.local/share/flatpak/exports/share:/var/lib/flatpak/exports/share:/usr/local/share:/usr/share ']' +++++ export XDG_DATA_DIRS ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/lang.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/lang.sh +++++ sourced=0 +++++ '[' -n en_US.UTF-8 ']' +++++ saved_lang=en_US.UTF-8 +++++ '[' -f /home/jenkins/.i18n ']' +++++ LANG=en_US.UTF-8 +++++ unset saved_lang +++++ '[' 0 = 1 ']' +++++ unset sourced +++++ unset langfile ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/less.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/less.sh +++++ '[' -x /usr/bin/lesspipe.sh ']' +++++ export 'LESSOPEN=||/usr/bin/lesspipe.sh %s' +++++ LESSOPEN='||/usr/bin/lesspipe.sh %s' ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/PackageKit.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/PackageKit.sh +++++ [[ -n '' ]] ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/vim.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/vim.sh +++++ '[' -n '4.2.46(2)-release' -o -n '' -o -n '' ']' +++++ '[' -x /usr/bin/id ']' ++++++ /usr/bin/id -u +++++ ID=1001 +++++ '[' -n 1001 -a 1001 -le 200 ']' +++++ alias vi +++++ alias vi=vim ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/vte.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/vte.sh +++++ '[' -n '4.2.46(2)-release' -o -n '' ']' +++++ [[ ehxB == *i* ]] +++++ return 0 ++++ for i in '/etc/profile.d/*.sh' ++++ '[' -r /etc/profile.d/which2.sh ']' ++++ '[' '' ']' ++++ . /etc/profile.d/which2.sh +++++ alias 'which=alias | /usr/bin/which --tty-only --read-alias --show-dot --show-tilde' ++++ unset i ++++ unset -f pathmunge ++ export CAPS_OUTLEVEL=0 ++ CAPS_OUTLEVEL=0 ++ [[ LINUX64 == \D\A\R\W\I\N\6\4\.\g\c\c ]] ++ export ESP_BLOC=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64 ++ ESP_BLOC=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64 ++ export ESP_ROOT=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64 ++ ESP_ROOT=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64 ++ export JULIA_DEPOT_PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/julia ++ JULIA_DEPOT_PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/julia ++ export AWAVE=awavemod.f ++ AWAVE=awavemod.f ++ export RLM_CONNECT_TIMEOUT=350 ++ RLM_CONNECT_TIMEOUT=350 ++ [[ 7.6 != '' ]] ++ [[ '' != '' ]] ++ [[ reynolds-centOS7 == *\r\e\y\n\o\l\d\s* ]] ++ [[ '' != '' ]] ++ [[ -d /home/jenkins/util/ESP/Python/ESP_Python-3.11.6 ]] ++ export PYTHONHOME=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6 ++ PYTHONHOME=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6 ++ export PATH=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export LD_LIBRARY_PATH=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ++ LD_LIBRARY_PATH=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ++ export EMPnumProc=4 ++ EMPnumProc=4 ++ export OMP_NUM_THREADS=4 ++ OMP_NUM_THREADS=4 ++ '[' '!' -z '' ']' +++ ls -d /home/jenkins/util/ESP/OpenCASCADE-7.6.0 ++ export CASROOT=/home/jenkins/util/ESP/OpenCASCADE-7.6.0 ++ CASROOT=/home/jenkins/util/ESP/OpenCASCADE-7.6.0 ++ export CASARCH=. ++ CASARCH=. ++ export LD_LIBRARY_PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/lib:/home/jenkins/util/ESP/OpenCASCADE-7.6.0/lib:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ++ LD_LIBRARY_PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/lib:/home/jenkins/util/ESP/OpenCASCADE-7.6.0/lib:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ++ export ESP_EXTERN_LIBS=/home/jenkins/util/ESP/ExternLibs ++ ESP_EXTERN_LIBS=/home/jenkins/util/ESP/ExternLibs ++ export AFLR=/home/jenkins/util/AFLR/aflr_lib_11.5.9 ++ AFLR=/home/jenkins/util/AFLR/aflr_lib_11.5.9 ++ export AFLR_ARCH=Linux-x86-64 ++ AFLR_ARCH=Linux-x86-64 ++ export PATH=/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export CART3D=/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16 ++ CART3D=/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16 ++ export CART3D_ARCH=X86_64_ICC ++ CART3D_ARCH=X86_64_ICC ++ export PATH=/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export PATH=/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export PATH=/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export PATH=/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export MYSTRAN_directory=/home/jenkins/util/mystran/MYSTRAN/Binaries ++ MYSTRAN_directory=/home/jenkins/util/mystran/MYSTRAN/Binaries ++ export PATH=/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export PATH=/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ export CAPS_GLYPH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/CAPS/aim/pointwise/glyph ++ CAPS_GLYPH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/CAPS/aim/pointwise/glyph ++ export PATH=/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ PATH=/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin ++ [[ reynolds-centOS7 == \r\e\y\n\o\l\d\s ]] ++ export SU2_HOME=/home/jenkins/util/SU2/SU2-v8.0.0 ++ SU2_HOME=/home/jenkins/util/SU2/SU2-v8.0.0 ++ export SU2_RUN=/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ SU2_RUN=/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH=/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export TETGEN=/home/jenkins/util/tetgen/tetgen1.6.0 ++ TETGEN=/home/jenkins/util/tetgen/tetgen1.6.0 ++ export PATH=/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ '[' -d /home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16 ']' ++ export PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/test:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/test:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/pyOCSM:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/pyOCSM:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/CAPS/pyCAPS:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/pyOCSM:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/CAPS/pyCAPS:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/pyOCSM:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ [[ reynolds-centOS7 == \m\a\c\y\s* ]] ++ [[ reynolds-centOS7 == \v\i\g\g\e\n ]] +++ python-config --includes ++ export 'PYTHONINC=. -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11' ++ PYTHONINC='. -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11' +++ python-config --help ++ [[ Usage: /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin/python-config --prefix|--exec-prefix|--includes|--libs|--cflags|--ldflags|--extension-suffix|--help|--abiflags|--configdir|--embed == *\e\m\b\e\d* ]] +++ python-config --ldflags --embed ++ export 'PYTHONLIB= -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lpthread -ldl -lutil -lm ' ++ PYTHONLIB=' -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lpthread -ldl -lutil -lm ' ++ [[ reynolds-centOS7 == \m\a\c\y\s ]] ++ [[ reynolds-centOS7 == \v\i\g\g\e\n ]] ++ export PYTHONUNBUFFERED=true ++ PYTHONUNBUFFERED=true ++ export PYTHONFAULTHANDLER=true ++ PYTHONFAULTHANDLER=true ++ python --version Python 3.11.6 ++ [[ LINUX64 == \D\A\R\W\I\N\6\4\.\g\c\c ]] ++ export CSMDATA=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/data ++ CSMDATA=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/data + virtualenv /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv created virtual environment CPython3.11.6.final.0-64 in 283ms creator CPython3Posix(dest=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv, clear=False, no_vcs_ignore=False, global=False) seeder FromAppData(download=False, pip=bundle, setuptools=bundle, wheel=bundle, via=copy, app_data_dir=/home/jenkins/.local/share/virtualenv) added seed packages: pip==24.0, setuptools==69.0.3, wheel==0.42.0 activators BashActivator,CShellActivator,FishActivator,NushellActivator,PowerShellActivator,PythonActivator + . /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/activate ++ '[' /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/activate = /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP/ESP_Beta.sh ']' ++ deactivate nondestructive ++ unset -f pydoc ++ '[' -z '' ']' ++ '[' -z '' ']' ++ hash -r ++ '[' -z '' ']' ++ unset VIRTUAL_ENV ++ unset VIRTUAL_ENV_PROMPT ++ '[' '!' nondestructive = nondestructive ']' ++ VIRTUAL_ENV=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv ++ '[' linux-gnu = cygwin ']' ++ '[' linux-gnu = msys ']' ++ export VIRTUAL_ENV ++ _OLD_VIRTUAL_PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/test:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/test:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PATH ++ '[' x '!=' x ']' +++ basename /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv ++ VIRTUAL_ENV_PROMPT=ESP_venv ++ export VIRTUAL_ENV_PROMPT ++ '[' -z _ ']' ++ _OLD_VIRTUAL_PYTHONHOME=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6 ++ unset PYTHONHOME ++ '[' -z '' ']' ++ _OLD_VIRTUAL_PS1= ++ PS1='(ESP_venv) ' ++ export PS1 ++ alias pydoc ++ true ++ hash -r + pip_install pip + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade pip Requirement already satisfied: pip in ./ESP_venv/lib/python3.11/site-packages (24.0) + pip_install f90nml + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade f90nml Collecting f90nml Using cached f90nml-1.4.4-py2.py3-none-any.whl.metadata (6.0 kB) Using cached f90nml-1.4.4-py2.py3-none-any.whl (32 kB) Installing collected packages: f90nml Successfully installed f90nml-1.4.4 + pip_install numpy + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade numpy Collecting numpy Using cached numpy-1.26.4-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (61 kB) Using cached numpy-1.26.4-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (18.3 MB) Installing collected packages: numpy Successfully installed numpy-1.26.4 + pip_install openmdao + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade openmdao Collecting openmdao Using cached openmdao-3.30.0-py3-none-any.whl Collecting networkx>=2.0 (from openmdao) Using cached networkx-3.2.1-py3-none-any.whl.metadata (5.2 kB) Requirement already satisfied: numpy in ./ESP_venv/lib/python3.11/site-packages (from openmdao) (1.26.4) Collecting scipy (from openmdao) Using cached scipy-1.12.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (60 kB) Collecting requests (from openmdao) Using cached requests-2.31.0-py3-none-any.whl.metadata (4.6 kB) Collecting packaging (from openmdao) Using cached packaging-23.2-py3-none-any.whl.metadata (3.2 kB) Collecting charset-normalizer<4,>=2 (from requests->openmdao) Using cached charset_normalizer-3.3.2-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (33 kB) Collecting idna<4,>=2.5 (from requests->openmdao) Using cached idna-3.6-py3-none-any.whl.metadata (9.9 kB) Collecting urllib3<3,>=1.21.1 (from requests->openmdao) Using cached urllib3-2.2.1-py3-none-any.whl.metadata (6.4 kB) Collecting certifi>=2017.4.17 (from requests->openmdao) Using cached certifi-2024.2.2-py3-none-any.whl.metadata (2.2 kB) Using cached networkx-3.2.1-py3-none-any.whl (1.6 MB) Using cached packaging-23.2-py3-none-any.whl (53 kB) Using cached requests-2.31.0-py3-none-any.whl (62 kB) Using cached scipy-1.12.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (38.4 MB) Using cached certifi-2024.2.2-py3-none-any.whl (163 kB) Using cached charset_normalizer-3.3.2-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (140 kB) Using cached idna-3.6-py3-none-any.whl (61 kB) Using cached urllib3-2.2.1-py3-none-any.whl (121 kB) Installing collected packages: urllib3, scipy, packaging, networkx, idna, charset-normalizer, certifi, requests, openmdao Successfully installed certifi-2024.2.2 charset-normalizer-3.3.2 idna-3.6 networkx-3.2.1 openmdao-3.30.0 packaging-23.2 requests-2.31.0 scipy-1.12.0 urllib3-2.2.1 + [[ reynolds-centOS7 == *\v\i\g\g\e\n* ]] + pip_install cvxopt + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade cvxopt Collecting cvxopt Using cached cvxopt-1.3.2-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (1.3 kB) Using cached cvxopt-1.3.2-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (13.6 MB) Installing collected packages: cvxopt Successfully installed cvxopt-1.3.2 + pip_install dill + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade dill Collecting dill Using cached dill-0.3.8-py3-none-any.whl.metadata (10 kB) Using cached dill-0.3.8-py3-none-any.whl (116 kB) Installing collected packages: dill Successfully installed dill-0.3.8 + pip_install packaging + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade packaging Requirement already satisfied: packaging in ./ESP_venv/lib/python3.11/site-packages (23.2) + pip_install matplotlib + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade matplotlib Collecting matplotlib Using cached matplotlib-3.8.3-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (5.8 kB) Collecting contourpy>=1.0.1 (from matplotlib) Using cached contourpy-1.2.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (5.8 kB) Collecting cycler>=0.10 (from matplotlib) Using cached cycler-0.12.1-py3-none-any.whl.metadata (3.8 kB) Collecting fonttools>=4.22.0 (from matplotlib) Using cached fonttools-4.49.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (159 kB) Collecting kiwisolver>=1.3.1 (from matplotlib) Using cached kiwisolver-1.4.5-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (6.4 kB) Requirement already satisfied: numpy<2,>=1.21 in ./ESP_venv/lib/python3.11/site-packages (from matplotlib) (1.26.4) Requirement already satisfied: packaging>=20.0 in ./ESP_venv/lib/python3.11/site-packages (from matplotlib) (23.2) Collecting pillow>=8 (from matplotlib) Using cached pillow-10.2.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (9.7 kB) Collecting pyparsing>=2.3.1 (from matplotlib) Using cached pyparsing-3.1.2-py3-none-any.whl.metadata (5.1 kB) Collecting python-dateutil>=2.7 (from matplotlib) Using cached python_dateutil-2.9.0.post0-py2.py3-none-any.whl.metadata (8.4 kB) Collecting six>=1.5 (from python-dateutil>=2.7->matplotlib) Using cached six-1.16.0-py2.py3-none-any.whl.metadata (1.8 kB) Using cached matplotlib-3.8.3-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (11.6 MB) Using cached contourpy-1.2.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (313 kB) Using cached cycler-0.12.1-py3-none-any.whl (8.3 kB) Using cached fonttools-4.49.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (4.9 MB) Using cached kiwisolver-1.4.5-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.4 MB) Using cached pillow-10.2.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (4.4 MB) Using cached pyparsing-3.1.2-py3-none-any.whl (103 kB) Using cached python_dateutil-2.9.0.post0-py2.py3-none-any.whl (229 kB) Using cached six-1.16.0-py2.py3-none-any.whl (11 kB) Installing collected packages: six, pyparsing, pillow, kiwisolver, fonttools, cycler, contourpy, python-dateutil, matplotlib Successfully installed contourpy-1.2.0 cycler-0.12.1 fonttools-4.49.0 kiwisolver-1.4.5 matplotlib-3.8.3 pillow-10.2.0 pyparsing-3.1.2 python-dateutil-2.9.0.post0 six-1.16.0 + pip_install scipy + /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python -m pip install --only-binary all --upgrade scipy Requirement already satisfied: scipy in ./ESP_venv/lib/python3.11/site-packages (1.12.0) Requirement already satisfied: numpy<1.29.0,>=1.22.4 in ./ESP_venv/lib/python3.11/site-packages (from scipy) (1.26.4) + unset PYTHONINC + unset PYTHONLIB + unset UDUNITS2_XML_PATH + unset ESP_BLOC + unset ESP_ROOT + unset ESP_ARCH + unset AWAVE + unset AFLR + unset AFLR_ARCH + wget --tries=3 https://acdl.mit.edu/ESP/archive/ESPbeta.tgz --2024-03-06 07:47:36-- https://acdl.mit.edu/ESP/archive/ESPbeta.tgz Resolving acdl.mit.edu (acdl.mit.edu)... 18.18.33.14 Connecting to acdl.mit.edu (acdl.mit.edu)|18.18.33.14|:443... connected. HTTP request sent, awaiting response... 200 OK Length: 269693094 (257M) [application/x-gzip] Saving to: ‘ESPbeta.tgz’ 0K .......... .......... .......... .......... .......... 0% 22.5M 11s 50K .......... .......... .......... .......... .......... 0% 42.4M 9s 100K .......... .......... .......... .......... .......... 0% 32.1M 8s 150K .......... .......... .......... .......... .......... 0% 31.3M 8s 200K .......... .......... .......... .......... .......... 0% 16.3M 10s 250K .......... .......... .......... .......... .......... 0% 20.6M 10s 300K .......... .......... .......... .......... .......... 0% 26.8M 10s 350K .......... .......... .......... .......... .......... 0% 19.2M 11s 400K .......... .......... .......... .......... .......... 0% 23.2M 11s 450K .......... .......... .......... .......... .......... 0% 24.0M 11s 500K .......... .......... .......... .......... .......... 0% 22.9M 11s 550K .......... .......... .......... .......... .......... 0% 27.5M 11s 600K .......... .......... .......... .......... .......... 0% 21.0M 11s 650K .......... .......... .......... .......... .......... 0% 26.9M 11s 700K .......... .......... .......... .......... .......... 0% 17.0M 11s 750K .......... .......... .......... .......... .......... 0% 19.1M 11s 800K .......... .......... .......... .......... .......... 0% 19.9M 11s 850K .......... .......... .......... .......... .......... 0% 21.0M 11s 900K .......... .......... .......... .......... .......... 0% 43.8M 11s 950K .......... .......... .......... .......... .......... 0% 21.2M 11s 1000K .......... .......... .......... .......... .......... 0% 14.5M 11s 1050K .......... .......... .......... .......... .......... 0% 25.1M 11s 1100K .......... .......... .......... .......... .......... 0% 18.1M 11s 1150K .......... .......... .......... .......... .......... 0% 20.6M 11s 1200K .......... .......... .......... .......... .......... 0% 16.6M 12s 1250K .......... .......... .......... .......... .......... 0% 19.9M 12s 1300K .......... .......... .......... .......... .......... 0% 21.0M 12s 1350K .......... .......... .......... .......... .......... 0% 11.1M 12s 1400K .......... .......... .......... .......... .......... 0% 191M 12s 1450K .......... .......... .......... .......... .......... 0% 18.7M 12s 1500K .......... .......... .......... .......... .......... 0% 21.2M 12s 1550K .......... .......... .......... .......... .......... 0% 16.9M 12s 1600K .......... .......... .......... .......... .......... 0% 15.3M 12s 1650K .......... .......... .......... .......... .......... 0% 11.0M 12s 1700K .......... .......... .......... .......... .......... 0% 18.4M 12s 1750K .......... .......... .......... .......... .......... 0% 6.98M 13s 1800K .......... .......... .......... .......... .......... 0% 8.23M 14s 1850K .......... .......... .......... .......... .......... 0% 11.4M 14s 1900K .......... .......... .......... .......... .......... 0% 13.4M 14s 1950K .......... .......... .......... .......... .......... 0% 14.9M 14s 2000K .......... .......... .......... .......... .......... 0% 12.5M 14s 2050K .......... .......... .......... .......... .......... 0% 14.3M 14s 2100K .......... .......... .......... .......... .......... 0% 14.8M 14s 2150K .......... .......... .......... .......... .......... 0% 16.2M 14s 2200K .......... .......... .......... .......... .......... 0% 12.8M 14s 2250K .......... .......... .......... .......... .......... 0% 10.3M 15s 2300K .......... .......... .......... .......... .......... 0% 16.4M 15s 2350K .......... .......... .......... .......... .......... 0% 14.0M 15s 2400K .......... .......... .......... .......... .......... 0% 18.0M 15s 2450K .......... .......... .......... .......... .......... 0% 12.6M 15s 2500K .......... .......... .......... .......... .......... 0% 16.5M 15s 2550K .......... .......... .......... .......... .......... 0% 12.3M 15s 2600K .......... .......... .......... .......... .......... 1% 10.3M 15s 2650K .......... .......... .......... .......... .......... 1% 13.4M 15s 2700K .......... .......... .......... .......... .......... 1% 14.9M 15s 2750K .......... .......... .......... .......... .......... 1% 14.9M 15s 2800K .......... .......... .......... .......... .......... 1% 12.8M 15s 2850K .......... .......... .......... .......... .......... 1% 9.05M 16s 2900K .......... .......... .......... .......... .......... 1% 14.3M 16s 2950K .......... .......... .......... .......... .......... 1% 10.0M 16s 3000K .......... .......... .......... .......... .......... 1% 9.61M 16s 3050K .......... .......... .......... .......... .......... 1% 23.0M 16s 3100K .......... .......... .......... .......... .......... 1% 16.4M 16s 3150K .......... .......... .......... .......... .......... 1% 17.0M 16s 3200K .......... .......... .......... .......... .......... 1% 11.0M 16s 3250K .......... .......... .......... .......... .......... 1% 21.0M 16s 3300K .......... .......... .......... .......... .......... 1% 24.9M 16s 3350K .......... .......... .......... .......... .......... 1% 18.5M 16s 3400K .......... .......... .......... .......... .......... 1% 16.3M 16s 3450K .......... .......... .......... .......... .......... 1% 24.1M 16s 3500K .......... .......... .......... .......... .......... 1% 27.9M 16s 3550K .......... .......... .......... .......... .......... 1% 24.7M 15s 3600K .......... .......... .......... .......... .......... 1% 29.8M 15s 3650K .......... .......... .......... .......... .......... 1% 27.4M 15s 3700K .......... .......... .......... .......... .......... 1% 18.8M 15s 3750K .......... .......... .......... .......... .......... 1% 47.5M 15s 3800K .......... .......... .......... .......... .......... 1% 24.4M 15s 3850K .......... .......... .......... .......... .......... 1% 20.6M 15s 3900K .......... .......... .......... .......... .......... 1% 23.3M 15s 3950K .......... .......... .......... .......... .......... 1% 22.5M 15s 4000K .......... .......... .......... .......... .......... 1% 13.9M 15s 4050K .......... .......... .......... .......... .......... 1% 13.8M 15s 4100K .......... .......... .......... .......... .......... 1% 12.5M 15s 4150K .......... .......... .......... .......... .......... 1% 2.00M 16s 4200K .......... .......... .......... .......... .......... 1% 5.53M 17s 4250K .......... .......... .......... .......... .......... 1% 10.1M 17s 4300K .......... .......... .......... .......... .......... 1% 16.2M 17s 4350K .......... .......... .......... .......... .......... 1% 10.3M 17s 4400K .......... .......... .......... .......... .......... 1% 9.24M 17s 4450K .......... .......... .......... .......... .......... 1% 10.0M 17s 4500K .......... .......... .......... .......... .......... 1% 14.6M 17s 4550K .......... .......... .......... .......... .......... 1% 21.4M 17s 4600K .......... .......... .......... .......... .......... 1% 22.2M 17s 4650K .......... .......... .......... .......... .......... 1% 14.6M 17s 4700K .......... .......... .......... .......... .......... 1% 18.9M 17s 4750K .......... .......... .......... .......... .......... 1% 25.9M 17s 4800K .......... .......... .......... .......... .......... 1% 31.0M 17s 4850K .......... .......... .......... .......... .......... 1% 34.3M 17s 4900K .......... .......... .......... .......... .......... 1% 12.0M 17s 4950K .......... .......... .......... .......... .......... 1% 14.6M 17s 5000K .......... .......... .......... .......... .......... 1% 12.3M 17s 5050K .......... .......... .......... .......... .......... 1% 16.6M 17s 5100K .......... .......... .......... .......... .......... 1% 23.7M 17s 5150K .......... .......... .......... .......... .......... 1% 27.6M 17s 5200K .......... .......... .......... .......... .......... 1% 11.7M 17s 5250K .......... .......... .......... .......... .......... 2% 19.9M 17s 5300K .......... .......... .......... .......... .......... 2% 12.7M 17s 5350K .......... .......... .......... .......... .......... 2% 12.8M 17s 5400K .......... .......... .......... .......... .......... 2% 9.47M 17s 5450K .......... .......... .......... .......... .......... 2% 9.41M 17s 5500K .......... .......... .......... .......... .......... 2% 13.2M 17s 5550K .......... .......... .......... .......... .......... 2% 14.5M 17s 5600K .......... .......... .......... .......... .......... 2% 10.2M 17s 5650K .......... .......... .......... .......... .......... 2% 12.7M 17s 5700K .......... .......... .......... .......... .......... 2% 12.8M 17s 5750K .......... .......... .......... .......... .......... 2% 19.9M 17s 5800K .......... .......... .......... .......... .......... 2% 19.9M 17s 5850K .......... .......... .......... .......... .......... 2% 15.4M 17s 5900K .......... .......... .......... .......... .......... 2% 11.2M 17s 5950K .......... .......... .......... .......... .......... 2% 9.76M 17s 6000K .......... .......... .......... .......... .......... 2% 14.9M 17s 6050K .......... .......... .......... .......... .......... 2% 19.5M 17s 6100K .......... .......... .......... .......... .......... 2% 15.9M 17s 6150K .......... .......... .......... .......... .......... 2% 9.58M 17s 6200K .......... .......... .......... .......... .......... 2% 8.39M 17s 6250K .......... .......... .......... .......... .......... 2% 11.2M 17s 6300K .......... .......... .......... .......... .......... 2% 12.5M 17s 6350K .......... .......... .......... .......... .......... 2% 10.8M 17s 6400K .......... .......... .......... .......... .......... 2% 10.8M 17s 6450K .......... .......... .......... .......... .......... 2% 12.6M 17s 6500K .......... .......... .......... .......... .......... 2% 20.2M 17s 6550K .......... .......... .......... .......... .......... 2% 18.8M 17s 6600K .......... .......... .......... .......... .......... 2% 14.0M 17s 6650K .......... .......... .......... .......... .......... 2% 13.8M 17s 6700K .......... .......... .......... .......... .......... 2% 8.04M 17s 6750K .......... .......... .......... .......... .......... 2% 7.09M 17s 6800K .......... .......... .......... .......... .......... 2% 4.48M 18s 6850K .......... .......... .......... .......... .......... 2% 1.74M 19s 6900K .......... .......... .......... .......... .......... 2% 5.60M 19s 6950K .......... .......... .......... .......... .......... 2% 4.26M 19s 7000K .......... .......... .......... .......... .......... 2% 4.39M 19s 7050K .......... .......... .......... .......... .......... 2% 5.98M 19s 7100K .......... .......... .......... .......... .......... 2% 16.3M 19s 7150K .......... .......... .......... .......... .......... 2% 25.3M 19s 7200K .......... .......... .......... .......... .......... 2% 23.9M 19s 7250K .......... .......... .......... .......... .......... 2% 26.1M 19s 7300K .......... .......... .......... .......... .......... 2% 22.4M 19s 7350K .......... .......... .......... .......... .......... 2% 24.3M 19s 7400K .......... .......... .......... .......... .......... 2% 15.6M 19s 7450K .......... .......... .......... .......... .......... 2% 41.6M 19s 7500K .......... .......... .......... .......... .......... 2% 22.4M 19s 7550K .......... .......... .......... .......... .......... 2% 28.7M 19s 7600K .......... .......... .......... .......... .......... 2% 16.8M 19s 7650K .......... .......... .......... .......... .......... 2% 14.3M 19s 7700K .......... .......... .......... .......... .......... 2% 19.7M 19s 7750K .......... .......... .......... .......... .......... 2% 12.2M 19s 7800K .......... .......... .......... .......... .......... 2% 20.4M 19s 7850K .......... .......... .......... .......... .......... 2% 16.4M 19s 7900K .......... .......... .......... .......... .......... 3% 21.0M 19s 7950K .......... .......... .......... .......... .......... 3% 15.0M 19s 8000K .......... .......... .......... .......... .......... 3% 24.1M 19s 8050K .......... .......... .......... .......... .......... 3% 14.9M 19s 8100K .......... .......... .......... .......... .......... 3% 15.7M 19s 8150K .......... .......... .......... .......... .......... 3% 11.5M 19s 8200K .......... .......... .......... .......... .......... 3% 16.4M 19s 8250K .......... .......... .......... .......... .......... 3% 14.8M 19s 8300K .......... .......... .......... .......... .......... 3% 18.7M 19s 8350K .......... .......... .......... .......... .......... 3% 14.6M 19s 8400K .......... .......... .......... .......... .......... 3% 10.9M 19s 8450K .......... .......... .......... .......... .......... 3% 14.2M 19s 8500K .......... .......... .......... .......... .......... 3% 19.2M 18s 8550K .......... .......... .......... .......... .......... 3% 11.8M 19s 8600K .......... .......... .......... .......... .......... 3% 15.2M 18s 8650K .......... .......... .......... .......... .......... 3% 27.0M 18s 8700K .......... .......... .......... .......... .......... 3% 12.2M 18s 8750K .......... .......... .......... .......... .......... 3% 16.0M 18s 8800K .......... .......... .......... .......... .......... 3% 11.1M 18s 8850K .......... .......... .......... .......... .......... 3% 6.07M 19s 8900K .......... .......... .......... .......... .......... 3% 17.3M 19s 8950K .......... .......... .......... .......... .......... 3% 9.87M 19s 9000K .......... .......... .......... .......... .......... 3% 14.4M 19s 9050K .......... .......... .......... .......... .......... 3% 13.3M 19s 9100K .......... .......... .......... .......... .......... 3% 12.5M 19s 9150K .......... .......... .......... .......... .......... 3% 9.88M 19s 9200K .......... .......... .......... .......... .......... 3% 9.12M 19s 9250K .......... .......... .......... .......... .......... 3% 10.3M 19s 9300K .......... .......... .......... .......... .......... 3% 8.85M 19s 9350K .......... .......... .......... .......... .......... 3% 4.73M 19s 9400K .......... .......... .......... .......... .......... 3% 4.83M 19s 9450K .......... .......... .......... .......... .......... 3% 4.54M 19s 9500K .......... .......... .......... .......... .......... 3% 5.55M 19s 9550K .......... .......... .......... .......... .......... 3% 4.17M 20s 9600K .......... .......... .......... .......... .......... 3% 5.54M 20s 9650K .......... .......... .......... .......... .......... 3% 10.5M 20s 9700K .......... .......... .......... .......... .......... 3% 6.60M 20s 9750K .......... .......... .......... .......... .......... 3% 9.97M 20s 9800K .......... .......... .......... .......... .......... 3% 9.87M 20s 9850K .......... .......... .......... .......... .......... 3% 7.30M 20s 9900K .......... .......... .......... .......... .......... 3% 7.32M 20s 9950K .......... .......... .......... .......... .......... 3% 8.61M 20s 10000K .......... .......... .......... .......... .......... 3% 9.51M 20s 10050K .......... .......... .......... .......... .......... 3% 13.3M 20s 10100K .......... .......... .......... .......... .......... 3% 17.0M 20s 10150K .......... .......... .......... .......... .......... 3% 17.7M 20s 10200K .......... .......... .......... .......... .......... 3% 9.26M 20s 10250K .......... .......... .......... .......... .......... 3% 14.8M 20s 10300K .......... .......... .......... .......... .......... 3% 8.65M 20s 10350K .......... .......... .......... .......... .......... 3% 6.99M 20s 10400K .......... .......... .......... .......... .......... 3% 10.7M 20s 10450K .......... .......... .......... .......... .......... 3% 6.79M 20s 10500K .......... .......... .......... .......... .......... 4% 9.98M 20s 10550K .......... .......... .......... .......... .......... 4% 6.87M 20s 10600K .......... .......... .......... .......... .......... 4% 6.95M 20s 10650K .......... .......... .......... .......... .......... 4% 15.3M 20s 10700K .......... .......... .......... .......... .......... 4% 5.06M 20s 10750K .......... .......... .......... .......... .......... 4% 4.58M 21s 10800K .......... .......... .......... .......... .......... 4% 6.49M 21s 10850K .......... .......... .......... .......... .......... 4% 9.24M 21s 10900K .......... .......... .......... .......... .......... 4% 10.1M 21s 10950K .......... .......... .......... .......... .......... 4% 9.77M 21s 11000K .......... .......... .......... .......... .......... 4% 9.21M 21s 11050K .......... .......... .......... .......... .......... 4% 16.5M 21s 11100K .......... .......... .......... .......... .......... 4% 9.53M 21s 11150K .......... .......... .......... .......... .......... 4% 12.0M 21s 11200K .......... .......... .......... .......... .......... 4% 6.22M 21s 11250K .......... .......... .......... .......... .......... 4% 12.2M 21s 11300K .......... .......... .......... .......... .......... 4% 12.3M 21s 11350K .......... .......... .......... .......... .......... 4% 8.16M 21s 11400K .......... .......... .......... .......... .......... 4% 9.69M 21s 11450K .......... .......... .......... .......... .......... 4% 8.28M 21s 11500K .......... .......... .......... .......... .......... 4% 9.34M 21s 11550K .......... .......... .......... .......... .......... 4% 7.63M 21s 11600K .......... .......... .......... .......... .......... 4% 15.3M 21s 11650K .......... .......... .......... .......... .......... 4% 12.6M 21s 11700K .......... .......... .......... .......... .......... 4% 17.9M 21s 11750K .......... .......... .......... .......... .......... 4% 13.4M 21s 11800K .......... .......... .......... .......... .......... 4% 9.77M 21s 11850K .......... .......... .......... .......... .......... 4% 15.7M 21s 11900K .......... .......... .......... .......... .......... 4% 11.9M 21s 11950K .......... .......... .......... .......... .......... 4% 12.5M 21s 12000K .......... .......... .......... .......... .......... 4% 14.2M 21s 12050K .......... .......... .......... .......... .......... 4% 15.0M 21s 12100K .......... .......... .......... .......... .......... 4% 15.5M 21s 12150K .......... .......... .......... .......... .......... 4% 14.7M 21s 12200K .......... .......... .......... .......... .......... 4% 10.8M 21s 12250K .......... .......... .......... .......... .......... 4% 13.0M 21s 12300K .......... .......... .......... .......... .......... 4% 13.6M 21s 12350K .......... .......... .......... .......... .......... 4% 11.1M 21s 12400K .......... .......... .......... .......... .......... 4% 9.62M 21s 12450K .......... .......... .......... .......... .......... 4% 9.94M 21s 12500K .......... .......... .......... .......... .......... 4% 12.9M 21s 12550K .......... .......... .......... .......... .......... 4% 9.96M 21s 12600K .......... .......... .......... .......... .......... 4% 9.94M 21s 12650K .......... .......... .......... .......... .......... 4% 13.7M 21s 12700K .......... .......... .......... .......... .......... 4% 16.5M 21s 12750K .......... .......... .......... .......... .......... 4% 15.6M 21s 12800K .......... .......... .......... .......... .......... 4% 21.9M 21s 12850K .......... .......... .......... .......... .......... 4% 11.3M 21s 12900K .......... .......... .......... .......... .......... 4% 18.3M 21s 12950K .......... .......... .......... .......... .......... 4% 9.85M 21s 13000K .......... .......... .......... .......... .......... 4% 12.2M 21s 13050K .......... .......... .......... .......... .......... 4% 12.0M 21s 13100K .......... .......... .......... .......... .......... 4% 12.2M 21s 13150K .......... .......... .......... .......... .......... 5% 18.6M 21s 13200K .......... .......... .......... .......... .......... 5% 12.5M 21s 13250K .......... .......... .......... .......... .......... 5% 14.6M 21s 13300K .......... .......... .......... .......... .......... 5% 14.9M 21s 13350K .......... .......... .......... .......... .......... 5% 10.8M 21s 13400K .......... .......... .......... .......... .......... 5% 13.4M 21s 13450K .......... .......... .......... .......... .......... 5% 14.5M 20s 13500K .......... .......... .......... .......... .......... 5% 15.4M 20s 13550K .......... .......... .......... .......... .......... 5% 16.3M 20s 13600K .......... .......... .......... .......... .......... 5% 11.4M 20s 13650K .......... .......... .......... .......... .......... 5% 13.0M 20s 13700K .......... .......... .......... .......... .......... 5% 14.9M 20s 13750K .......... .......... .......... .......... .......... 5% 12.9M 20s 13800K .......... .......... .......... .......... .......... 5% 17.6M 20s 13850K .......... .......... .......... .......... .......... 5% 8.41M 20s 13900K .......... .......... .......... .......... .......... 5% 12.1M 20s 13950K .......... .......... .......... .......... .......... 5% 12.6M 20s 14000K .......... .......... .......... .......... .......... 5% 13.3M 20s 14050K .......... .......... .......... .......... .......... 5% 11.9M 20s 14100K .......... .......... .......... .......... .......... 5% 12.0M 20s 14150K .......... .......... .......... .......... .......... 5% 11.3M 20s 14200K .......... .......... .......... .......... .......... 5% 12.1M 20s 14250K .......... .......... .......... .......... .......... 5% 10.8M 20s 14300K .......... .......... .......... .......... .......... 5% 8.99M 20s 14350K .......... .......... .......... .......... .......... 5% 31.0M 20s 14400K .......... .......... .......... .......... .......... 5% 29.3M 20s 14450K .......... .......... .......... .......... .......... 5% 40.0M 20s 14500K .......... .......... .......... .......... .......... 5% 23.8M 20s 14550K .......... .......... .......... .......... .......... 5% 21.7M 20s 14600K .......... .......... .......... .......... .......... 5% 38.2M 20s 14650K .......... .......... .......... .......... .......... 5% 16.3M 20s 14700K .......... .......... .......... .......... .......... 5% 34.4M 20s 14750K .......... .......... .......... .......... .......... 5% 25.1M 20s 14800K .......... .......... .......... .......... .......... 5% 20.6M 20s 14850K .......... .......... .......... .......... .......... 5% 21.0M 20s 14900K .......... .......... .......... .......... .......... 5% 21.4M 20s 14950K .......... .......... .......... .......... .......... 5% 16.4M 20s 15000K .......... .......... .......... .......... .......... 5% 14.1M 20s 15050K .......... .......... .......... .......... .......... 5% 16.7M 20s 15100K .......... .......... .......... .......... .......... 5% 14.4M 20s 15150K .......... .......... .......... .......... .......... 5% 11.7M 20s 15200K .......... .......... .......... .......... .......... 5% 14.4M 20s 15250K .......... .......... .......... .......... .......... 5% 24.0M 20s 15300K .......... .......... .......... .......... .......... 5% 13.6M 20s 15350K .......... .......... .......... .......... .......... 5% 8.78M 20s 15400K .......... .......... .......... .......... .......... 5% 9.29M 20s 15450K .......... .......... .......... .......... .......... 5% 18.5M 20s 15500K .......... .......... .......... .......... .......... 5% 20.6M 20s 15550K .......... .......... .......... .......... .......... 5% 19.6M 20s 15600K .......... .......... .......... .......... .......... 5% 16.3M 20s 15650K .......... .......... .......... .......... .......... 5% 18.6M 20s 15700K .......... .......... .......... .......... .......... 5% 6.73M 20s 15750K .......... .......... .......... .......... .......... 5% 15.6M 20s 15800K .......... .......... .......... .......... .......... 6% 14.9M 20s 15850K .......... .......... .......... .......... .......... 6% 17.0M 20s 15900K .......... .......... .......... .......... .......... 6% 24.3M 20s 15950K .......... .......... .......... .......... .......... 6% 15.0M 20s 16000K .......... .......... .......... .......... .......... 6% 13.1M 20s 16050K .......... .......... .......... .......... .......... 6% 9.35M 20s 16100K .......... .......... .......... .......... .......... 6% 8.96M 20s 16150K .......... .......... .......... .......... .......... 6% 7.94M 20s 16200K .......... .......... .......... .......... .......... 6% 7.61M 20s 16250K .......... .......... .......... .......... .......... 6% 14.0M 20s 16300K .......... .......... .......... .......... .......... 6% 13.1M 20s 16350K .......... .......... .......... .......... .......... 6% 23.3M 20s 16400K .......... .......... .......... .......... .......... 6% 23.9M 20s 16450K .......... .......... .......... .......... .......... 6% 15.8M 20s 16500K .......... .......... .......... .......... .......... 6% 27.6M 20s 16550K .......... .......... .......... .......... .......... 6% 23.1M 20s 16600K .......... .......... .......... .......... .......... 6% 17.7M 20s 16650K .......... .......... .......... .......... .......... 6% 17.2M 20s 16700K .......... .......... .......... .......... .......... 6% 18.7M 19s 16750K .......... .......... .......... .......... .......... 6% 20.0M 19s 16800K .......... .......... .......... .......... .......... 6% 21.1M 19s 16850K .......... .......... .......... .......... .......... 6% 22.1M 19s 16900K .......... .......... .......... .......... .......... 6% 18.7M 19s 16950K .......... .......... .......... .......... .......... 6% 8.09M 19s 17000K .......... .......... .......... .......... .......... 6% 11.8M 19s 17050K .......... .......... .......... .......... .......... 6% 15.2M 19s 17100K .......... .......... .......... .......... .......... 6% 17.7M 19s 17150K .......... .......... .......... .......... .......... 6% 10.8M 19s 17200K .......... .......... .......... .......... .......... 6% 9.87M 19s 17250K .......... .......... .......... .......... .......... 6% 15.3M 19s 17300K .......... .......... .......... .......... .......... 6% 12.1M 19s 17350K .......... .......... .......... .......... .......... 6% 12.4M 19s 17400K .......... .......... .......... .......... .......... 6% 23.7M 19s 17450K .......... .......... .......... .......... .......... 6% 21.9M 19s 17500K .......... .......... .......... .......... .......... 6% 17.3M 19s 17550K .......... .......... .......... .......... .......... 6% 22.5M 19s 17600K .......... .......... .......... .......... .......... 6% 13.3M 19s 17650K .......... .......... .......... .......... .......... 6% 21.2M 19s 17700K .......... .......... .......... .......... .......... 6% 16.4M 19s 17750K .......... .......... .......... .......... .......... 6% 18.0M 19s 17800K .......... .......... .......... .......... .......... 6% 24.8M 19s 17850K .......... .......... .......... .......... .......... 6% 12.2M 19s 17900K .......... .......... .......... .......... .......... 6% 26.8M 19s 17950K .......... .......... .......... .......... .......... 6% 19.3M 19s 18000K .......... .......... .......... .......... .......... 6% 17.0M 19s 18050K .......... .......... .......... .......... .......... 6% 21.1M 19s 18100K .......... .......... .......... .......... .......... 6% 14.0M 19s 18150K .......... .......... .......... .......... .......... 6% 17.6M 19s 18200K .......... .......... .......... .......... .......... 6% 18.5M 19s 18250K .......... .......... .......... .......... .......... 6% 18.2M 19s 18300K .......... .......... .......... .......... .......... 6% 31.7M 19s 18350K .......... .......... .......... .......... .......... 6% 25.6M 19s 18400K .......... .......... .......... .......... .......... 7% 25.1M 19s 18450K .......... .......... .......... .......... .......... 7% 16.7M 19s 18500K .......... .......... .......... .......... .......... 7% 19.6M 19s 18550K .......... .......... .......... .......... .......... 7% 16.9M 19s 18600K .......... .......... .......... .......... .......... 7% 16.8M 19s 18650K .......... .......... .......... .......... .......... 7% 22.0M 19s 18700K .......... .......... .......... .......... .......... 7% 14.1M 19s 18750K .......... .......... .......... .......... .......... 7% 20.6M 19s 18800K .......... .......... .......... .......... .......... 7% 7.26M 19s 18850K .......... .......... .......... .......... .......... 7% 24.8M 19s 18900K .......... .......... .......... .......... .......... 7% 10.6M 19s 18950K .......... .......... .......... .......... .......... 7% 9.27M 19s 19000K .......... .......... .......... .......... .......... 7% 13.4M 19s 19050K .......... .......... .......... .......... .......... 7% 12.0M 19s 19100K .......... .......... .......... .......... .......... 7% 8.61M 19s 19150K .......... .......... .......... .......... .......... 7% 13.5M 19s 19200K .......... .......... .......... .......... .......... 7% 9.23M 19s 19250K .......... .......... .......... .......... .......... 7% 11.1M 19s 19300K .......... .......... .......... .......... .......... 7% 15.9M 19s 19350K .......... .......... .......... .......... .......... 7% 14.9M 19s 19400K .......... .......... .......... .......... .......... 7% 20.5M 19s 19450K .......... .......... .......... .......... .......... 7% 10.5M 19s 19500K .......... .......... .......... .......... .......... 7% 16.5M 19s 19550K .......... .......... .......... .......... .......... 7% 13.7M 19s 19600K .......... .......... .......... .......... .......... 7% 17.7M 19s 19650K .......... .......... .......... .......... .......... 7% 12.2M 19s 19700K .......... .......... .......... .......... .......... 7% 9.60M 19s 19750K .......... .......... .......... .......... .......... 7% 32.6M 19s 19800K .......... .......... .......... .......... .......... 7% 12.1M 19s 19850K .......... .......... .......... .......... .......... 7% 15.7M 19s 19900K .......... .......... .......... .......... .......... 7% 12.3M 19s 19950K .......... .......... .......... .......... .......... 7% 11.1M 19s 20000K .......... .......... .......... .......... .......... 7% 12.6M 19s 20050K .......... .......... .......... .......... .......... 7% 12.6M 19s 20100K .......... .......... .......... .......... .......... 7% 9.29M 19s 20150K .......... .......... .......... .......... .......... 7% 9.45M 19s 20200K .......... .......... .......... .......... .......... 7% 6.64M 19s 20250K .......... .......... .......... .......... .......... 7% 6.10M 19s 20300K .......... .......... .......... .......... .......... 7% 11.3M 19s 20350K .......... .......... .......... .......... .......... 7% 6.83M 19s 20400K .......... .......... .......... .......... .......... 7% 9.81M 19s 20450K .......... .......... .......... .......... .......... 7% 7.28M 19s 20500K .......... .......... .......... .......... .......... 7% 8.98M 19s 20550K .......... .......... .......... .......... .......... 7% 8.72M 19s 20600K .......... .......... .......... .......... .......... 7% 10.2M 19s 20650K .......... .......... .......... .......... .......... 7% 11.8M 19s 20700K .......... .......... .......... .......... .......... 7% 6.22M 19s 20750K .......... .......... .......... .......... .......... 7% 10.4M 19s 20800K .......... .......... .......... .......... .......... 7% 7.69M 19s 20850K .......... .......... .......... .......... .......... 7% 5.61M 19s 20900K .......... .......... .......... .......... .......... 7% 7.20M 19s 20950K .......... .......... .......... .......... .......... 7% 8.39M 19s 21000K .......... .......... .......... .......... .......... 7% 11.2M 19s 21050K .......... .......... .......... .......... .......... 8% 13.2M 19s 21100K .......... .......... .......... .......... .......... 8% 9.23M 19s 21150K .......... .......... .......... .......... .......... 8% 9.93M 19s 21200K .......... .......... .......... .......... .......... 8% 12.9M 19s 21250K .......... .......... .......... .......... .......... 8% 10.9M 19s 21300K .......... .......... .......... .......... .......... 8% 15.6M 19s 21350K .......... .......... .......... .......... .......... 8% 11.4M 19s 21400K .......... .......... .......... .......... .......... 8% 9.32M 19s 21450K .......... .......... .......... .......... .......... 8% 6.48M 19s 21500K .......... .......... .......... .......... .......... 8% 7.61M 19s 21550K .......... .......... .......... .......... .......... 8% 6.91M 19s 21600K .......... .......... .......... .......... .......... 8% 13.8M 19s 21650K .......... .......... .......... .......... .......... 8% 37.5M 19s 21700K .......... .......... .......... .......... .......... 8% 35.9M 19s 21750K .......... .......... .......... .......... .......... 8% 15.9M 19s 21800K .......... .......... .......... .......... .......... 8% 21.7M 19s 21850K .......... .......... .......... .......... .......... 8% 10.6M 19s 21900K .......... .......... .......... .......... .......... 8% 18.3M 19s 21950K .......... .......... .......... .......... .......... 8% 13.5M 19s 22000K .......... .......... .......... .......... .......... 8% 9.21M 19s 22050K .......... .......... .......... .......... .......... 8% 16.5M 19s 22100K .......... .......... .......... .......... .......... 8% 9.64M 19s 22150K .......... .......... .......... .......... .......... 8% 14.3M 19s 22200K .......... .......... .......... .......... .......... 8% 16.0M 19s 22250K .......... .......... .......... .......... .......... 8% 12.4M 19s 22300K .......... .......... .......... .......... .......... 8% 17.4M 19s 22350K .......... .......... .......... .......... .......... 8% 11.3M 19s 22400K .......... .......... .......... .......... .......... 8% 16.9M 19s 22450K .......... .......... .......... .......... .......... 8% 14.4M 19s 22500K .......... .......... .......... .......... .......... 8% 7.18M 19s 22550K .......... .......... .......... .......... .......... 8% 15.0M 19s 22600K .......... .......... .......... .......... .......... 8% 11.7M 19s 22650K .......... .......... .......... .......... .......... 8% 13.7M 19s 22700K .......... .......... .......... .......... .......... 8% 10.6M 19s 22750K .......... .......... .......... .......... .......... 8% 9.72M 19s 22800K .......... .......... .......... .......... .......... 8% 15.4M 19s 22850K .......... .......... .......... .......... .......... 8% 13.6M 19s 22900K .......... .......... .......... .......... .......... 8% 24.1M 19s 22950K .......... .......... .......... .......... .......... 8% 12.0M 19s 23000K .......... .......... .......... .......... .......... 8% 18.2M 19s 23050K .......... .......... .......... .......... .......... 8% 16.3M 19s 23100K .......... .......... .......... .......... .......... 8% 15.7M 19s 23150K .......... .......... .......... .......... .......... 8% 11.4M 19s 23200K .......... .......... .......... .......... .......... 8% 12.1M 19s 23250K .......... .......... .......... .......... .......... 8% 9.49M 19s 23300K .......... .......... .......... .......... .......... 8% 7.85M 19s 23350K .......... .......... .......... .......... .......... 8% 8.85M 19s 23400K .......... .......... .......... .......... .......... 8% 12.2M 19s 23450K .......... .......... .......... .......... .......... 8% 10.3M 19s 23500K .......... .......... .......... .......... .......... 8% 13.7M 19s 23550K .......... .......... .......... .......... .......... 8% 16.1M 19s 23600K .......... .......... .......... .......... .......... 8% 10.1M 19s 23650K .......... .......... .......... .......... .......... 8% 15.3M 19s 23700K .......... .......... .......... .......... .......... 9% 7.51M 19s 23750K .......... .......... .......... .......... .......... 9% 13.4M 19s 23800K .......... .......... .......... .......... .......... 9% 15.5M 19s 23850K .......... .......... .......... .......... .......... 9% 12.9M 19s 23900K .......... .......... .......... .......... .......... 9% 8.41M 19s 23950K .......... .......... .......... .......... .......... 9% 9.83M 19s 24000K .......... .......... .......... .......... .......... 9% 12.5M 19s 24050K .......... .......... .......... .......... .......... 9% 15.8M 19s 24100K .......... .......... .......... .......... .......... 9% 8.73M 19s 24150K .......... .......... .......... .......... .......... 9% 13.4M 19s 24200K .......... .......... .......... .......... .......... 9% 8.44M 19s 24250K .......... .......... .......... .......... .......... 9% 9.96M 19s 24300K .......... .......... .......... .......... .......... 9% 8.20M 19s 24350K .......... .......... .......... .......... .......... 9% 9.97M 19s 24400K .......... .......... .......... .......... .......... 9% 13.7M 19s 24450K .......... .......... .......... .......... .......... 9% 15.4M 19s 24500K .......... .......... .......... .......... .......... 9% 12.1M 19s 24550K .......... .......... .......... .......... .......... 9% 14.2M 19s 24600K .......... .......... .......... .......... .......... 9% 11.1M 19s 24650K .......... .......... .......... .......... .......... 9% 15.7M 19s 24700K .......... .......... .......... .......... .......... 9% 10.8M 19s 24750K .......... .......... .......... .......... .......... 9% 16.8M 19s 24800K .......... .......... .......... .......... .......... 9% 16.3M 19s 24850K .......... .......... .......... .......... .......... 9% 10.0M 19s 24900K .......... .......... .......... .......... .......... 9% 6.39M 19s 24950K .......... .......... .......... .......... .......... 9% 10.8M 19s 25000K .......... .......... .......... .......... .......... 9% 10.9M 19s 25050K .......... .......... .......... .......... .......... 9% 10.1M 19s 25100K .......... .......... .......... .......... .......... 9% 11.7M 19s 25150K .......... .......... .......... .......... .......... 9% 10.4M 19s 25200K .......... .......... .......... .......... .......... 9% 9.12M 19s 25250K .......... .......... .......... .......... .......... 9% 11.3M 19s 25300K .......... .......... .......... .......... .......... 9% 7.60M 19s 25350K .......... .......... .......... .......... .......... 9% 5.18M 19s 25400K .......... .......... .......... .......... .......... 9% 7.45M 19s 25450K .......... .......... .......... .......... .......... 9% 6.85M 19s 25500K .......... .......... .......... .......... .......... 9% 10.4M 19s 25550K .......... .......... .......... .......... .......... 9% 9.66M 19s 25600K .......... .......... .......... .......... .......... 9% 8.85M 19s 25650K .......... .......... .......... .......... .......... 9% 9.84M 19s 25700K .......... .......... .......... .......... .......... 9% 7.66M 19s 25750K .......... .......... .......... .......... .......... 9% 14.5M 19s 25800K .......... .......... .......... .......... .......... 9% 9.63M 19s 25850K .......... .......... .......... .......... .......... 9% 6.83M 19s 25900K .......... .......... .......... .......... .......... 9% 9.86M 19s 25950K .......... .......... .......... .......... .......... 9% 8.12M 19s 26000K .......... .......... .......... .......... .......... 9% 8.93M 19s 26050K .......... .......... .......... .......... .......... 9% 6.98M 19s 26100K .......... .......... .......... .......... .......... 9% 4.89M 19s 26150K .......... .......... .......... .......... .......... 9% 9.96M 19s 26200K .......... .......... .......... .......... .......... 9% 9.55M 19s 26250K .......... .......... .......... .......... .......... 9% 14.2M 19s 26300K .......... .......... .......... .......... .......... 10% 8.17M 19s 26350K .......... .......... .......... .......... .......... 10% 13.9M 19s 26400K .......... .......... .......... .......... .......... 10% 15.3M 19s 26450K .......... .......... .......... .......... .......... 10% 11.2M 19s 26500K .......... .......... .......... .......... .......... 10% 13.7M 19s 26550K .......... .......... .......... .......... .......... 10% 12.1M 19s 26600K .......... .......... .......... .......... .......... 10% 10.4M 19s 26650K .......... .......... .......... .......... .......... 10% 12.6M 19s 26700K .......... .......... .......... .......... .......... 10% 16.3M 19s 26750K .......... .......... .......... .......... .......... 10% 19.1M 19s 26800K .......... .......... .......... .......... .......... 10% 20.6M 19s 26850K .......... .......... .......... .......... .......... 10% 18.6M 19s 26900K .......... .......... .......... .......... .......... 10% 11.6M 19s 26950K .......... .......... .......... .......... .......... 10% 12.1M 19s 27000K .......... .......... .......... .......... .......... 10% 14.1M 19s 27050K .......... .......... .......... .......... .......... 10% 12.7M 19s 27100K .......... .......... .......... .......... .......... 10% 10.0M 19s 27150K .......... .......... .......... .......... .......... 10% 9.95M 19s 27200K .......... .......... .......... .......... .......... 10% 10.5M 19s 27250K .......... .......... .......... .......... .......... 10% 15.4M 19s 27300K .......... .......... .......... .......... .......... 10% 13.6M 19s 27350K .......... .......... .......... .......... .......... 10% 16.2M 19s 27400K .......... .......... .......... .......... .......... 10% 14.3M 19s 27450K .......... .......... .......... .......... .......... 10% 12.9M 19s 27500K .......... .......... .......... .......... .......... 10% 13.4M 19s 27550K .......... .......... .......... .......... .......... 10% 17.8M 19s 27600K .......... .......... .......... .......... .......... 10% 10.9M 19s 27650K .......... .......... .......... .......... .......... 10% 11.4M 19s 27700K .......... .......... .......... .......... .......... 10% 13.0M 19s 27750K .......... .......... .......... .......... .......... 10% 11.1M 19s 27800K .......... .......... .......... .......... .......... 10% 9.00M 19s 27850K .......... .......... .......... .......... .......... 10% 10.4M 19s 27900K .......... .......... .......... .......... .......... 10% 11.5M 19s 27950K .......... .......... .......... .......... .......... 10% 10.4M 19s 28000K .......... .......... .......... .......... .......... 10% 9.70M 19s 28050K .......... .......... .......... .......... .......... 10% 20.4M 19s 28100K .......... .......... .......... .......... .......... 10% 11.8M 19s 28150K .......... .......... .......... .......... .......... 10% 19.5M 19s 28200K .......... .......... .......... .......... .......... 10% 8.49M 19s 28250K .......... .......... .......... .......... .......... 10% 16.6M 19s 28300K .......... .......... .......... .......... .......... 10% 19.1M 19s 28350K .......... .......... .......... .......... .......... 10% 15.6M 19s 28400K .......... .......... .......... .......... .......... 10% 17.1M 19s 28450K .......... .......... .......... .......... .......... 10% 15.5M 19s 28500K .......... .......... .......... .......... .......... 10% 22.6M 19s 28550K .......... .......... .......... .......... .......... 10% 16.7M 19s 28600K .......... .......... .......... .......... .......... 10% 14.9M 19s 28650K .......... .......... .......... .......... .......... 10% 19.1M 19s 28700K .......... .......... .......... .......... .......... 10% 15.6M 19s 28750K .......... .......... .......... .......... .......... 10% 15.9M 19s 28800K .......... .......... .......... .......... .......... 10% 10.9M 19s 28850K .......... .......... .......... .......... .......... 10% 5.22M 19s 28900K .......... .......... .......... .......... .......... 10% 4.39M 19s 28950K .......... .......... .......... .......... .......... 11% 4.48M 19s 29000K .......... .......... .......... .......... .......... 11% 1.62M 19s 29050K .......... .......... .......... .......... .......... 11% 3.79M 19s 29100K .......... .......... .......... .......... .......... 11% 3.16M 19s 29150K .......... .......... .......... .......... .......... 11% 4.14M 19s 29200K .......... .......... .......... .......... .......... 11% 1.80M 20s 29250K .......... .......... .......... .......... .......... 11% 3.60M 20s 29300K .......... .......... .......... .......... .......... 11% 2.57M 20s 29350K .......... .......... .......... .......... .......... 11% 4.43M 20s 29400K .......... .......... .......... .......... .......... 11% 10.8M 20s 29450K .......... .......... .......... .......... .......... 11% 15.0M 20s 29500K .......... .......... .......... .......... .......... 11% 11.7M 20s 29550K .......... .......... .......... .......... .......... 11% 13.9M 20s 29600K .......... .......... .......... .......... .......... 11% 8.66M 20s 29650K .......... .......... .......... .......... .......... 11% 17.8M 20s 29700K .......... .......... .......... .......... .......... 11% 15.7M 20s 29750K .......... .......... .......... .......... .......... 11% 8.71M 20s 29800K .......... .......... .......... .......... .......... 11% 10.5M 20s 29850K .......... .......... .......... .......... .......... 11% 12.3M 20s 29900K .......... .......... .......... .......... .......... 11% 14.4M 20s 29950K .......... .......... .......... .......... .......... 11% 7.24M 20s 30000K .......... .......... .......... .......... .......... 11% 8.27M 20s 30050K .......... .......... .......... .......... .......... 11% 8.47M 20s 30100K .......... .......... .......... .......... .......... 11% 9.17M 20s 30150K .......... .......... .......... .......... .......... 11% 11.2M 20s 30200K .......... .......... .......... .......... .......... 11% 8.57M 20s 30250K .......... .......... .......... .......... .......... 11% 10.0M 20s 30300K .......... .......... .......... .......... .......... 11% 18.3M 20s 30350K .......... .......... .......... .......... .......... 11% 8.80M 20s 30400K .......... .......... .......... .......... .......... 11% 6.01M 20s 30450K .......... .......... .......... .......... .......... 11% 6.98M 20s 30500K .......... .......... .......... .......... .......... 11% 27.2M 20s 30550K .......... .......... .......... .......... .......... 11% 35.8M 20s 30600K .......... .......... .......... .......... .......... 11% 10.1M 20s 30650K .......... .......... .......... .......... .......... 11% 29.0M 20s 30700K .......... .......... .......... .......... .......... 11% 23.1M 20s 30750K .......... .......... .......... .......... .......... 11% 26.9M 20s 30800K .......... .......... .......... .......... .......... 11% 71.2M 20s 30850K .......... .......... .......... .......... .......... 11% 17.2M 20s 30900K .......... .......... .......... .......... .......... 11% 20.0M 20s 30950K .......... .......... .......... .......... .......... 11% 11.1M 20s 31000K .......... .......... .......... .......... .......... 11% 19.8M 20s 31050K .......... .......... .......... .......... .......... 11% 16.2M 20s 31100K .......... .......... .......... .......... .......... 11% 19.9M 20s 31150K .......... .......... .......... .......... .......... 11% 14.1M 20s 31200K .......... .......... .......... .......... .......... 11% 20.1M 20s 31250K .......... .......... .......... .......... .......... 11% 18.3M 20s 31300K .......... .......... .......... .......... .......... 11% 13.5M 20s 31350K .......... .......... .......... .......... .......... 11% 9.39M 20s 31400K .......... .......... .......... .......... .......... 11% 34.7M 20s 31450K .......... .......... .......... .......... .......... 11% 22.8M 20s 31500K .......... .......... .......... .......... .......... 11% 15.6M 20s 31550K .......... .......... .......... .......... .......... 11% 19.8M 20s 31600K .......... .......... .......... .......... .......... 12% 15.8M 19s 31650K .......... .......... .......... .......... .......... 12% 23.2M 19s 31700K .......... .......... .......... .......... .......... 12% 12.3M 19s 31750K .......... .......... .......... .......... .......... 12% 20.2M 19s 31800K .......... .......... .......... .......... .......... 12% 12.8M 19s 31850K .......... .......... .......... .......... .......... 12% 17.3M 19s 31900K .......... .......... .......... .......... .......... 12% 24.8M 19s 31950K .......... .......... .......... .......... .......... 12% 29.3M 19s 32000K .......... .......... .......... .......... .......... 12% 25.1M 19s 32050K .......... .......... .......... .......... .......... 12% 32.5M 19s 32100K .......... .......... .......... .......... .......... 12% 20.6M 19s 32150K .......... .......... .......... .......... .......... 12% 18.5M 19s 32200K .......... .......... .......... .......... .......... 12% 33.3M 19s 32250K .......... .......... .......... .......... .......... 12% 33.1M 19s 32300K .......... .......... .......... .......... .......... 12% 43.5M 19s 32350K .......... .......... .......... .......... .......... 12% 20.1M 19s 32400K .......... .......... .......... .......... .......... 12% 45.7M 19s 32450K .......... .......... .......... .......... .......... 12% 34.6M 19s 32500K .......... .......... .......... .......... .......... 12% 30.4M 19s 32550K .......... .......... .......... .......... .......... 12% 19.8M 19s 32600K .......... .......... .......... .......... .......... 12% 20.9M 19s 32650K .......... .......... .......... .......... .......... 12% 77.7M 19s 32700K .......... .......... .......... .......... .......... 12% 24.4M 19s 32750K .......... .......... .......... .......... .......... 12% 38.8M 19s 32800K .......... .......... .......... .......... .......... 12% 33.1M 19s 32850K .......... .......... .......... .......... .......... 12% 15.3M 19s 32900K .......... .......... .......... .......... .......... 12% 56.2M 19s 32950K .......... .......... .......... .......... .......... 12% 26.3M 19s 33000K .......... .......... .......... .......... .......... 12% 20.5M 19s 33050K .......... .......... .......... .......... .......... 12% 12.8M 19s 33100K .......... .......... .......... .......... .......... 12% 13.2M 19s 33150K .......... .......... .......... .......... .......... 12% 25.4M 19s 33200K .......... .......... .......... .......... .......... 12% 26.8M 19s 33250K .......... .......... .......... .......... .......... 12% 17.6M 19s 33300K .......... .......... .......... .......... .......... 12% 32.3M 19s 33350K .......... .......... .......... .......... .......... 12% 21.6M 19s 33400K .......... .......... .......... .......... .......... 12% 20.1M 19s 33450K .......... .......... .......... .......... .......... 12% 18.5M 19s 33500K .......... .......... .......... .......... .......... 12% 25.2M 19s 33550K .......... .......... .......... .......... .......... 12% 30.6M 19s 33600K .......... .......... .......... .......... .......... 12% 35.6M 19s 33650K .......... .......... .......... .......... .......... 12% 28.5M 19s 33700K .......... .......... .......... .......... .......... 12% 15.4M 19s 33750K .......... .......... .......... .......... .......... 12% 66.8M 19s 33800K .......... .......... .......... .......... .......... 12% 43.5M 19s 33850K .......... .......... .......... .......... .......... 12% 26.3M 19s 33900K .......... .......... .......... .......... .......... 12% 18.1M 19s 33950K .......... .......... .......... .......... .......... 12% 24.0M 19s 34000K .......... .......... .......... .......... .......... 12% 28.2M 19s 34050K .......... .......... .......... .......... .......... 12% 20.3M 19s 34100K .......... .......... .......... .......... .......... 12% 13.7M 19s 34150K .......... .......... .......... .......... .......... 12% 19.8M 19s 34200K .......... .......... .......... .......... .......... 13% 14.6M 19s 34250K .......... .......... .......... .......... .......... 13% 20.0M 19s 34300K .......... .......... .......... .......... .......... 13% 32.0M 19s 34350K .......... .......... .......... .......... .......... 13% 21.4M 18s 34400K .......... .......... .......... .......... .......... 13% 20.7M 18s 34450K .......... .......... .......... .......... .......... 13% 24.4M 18s 34500K .......... .......... .......... .......... .......... 13% 23.2M 18s 34550K .......... .......... .......... .......... .......... 13% 22.8M 18s 34600K .......... .......... .......... .......... .......... 13% 28.4M 18s 34650K .......... .......... .......... .......... .......... 13% 29.7M 18s 34700K .......... .......... .......... .......... .......... 13% 17.5M 18s 34750K .......... .......... .......... .......... .......... 13% 19.4M 18s 34800K .......... .......... .......... .......... .......... 13% 66.7M 18s 34850K .......... .......... .......... .......... .......... 13% 18.8M 18s 34900K .......... .......... .......... .......... .......... 13% 27.9M 18s 34950K .......... .......... .......... .......... .......... 13% 29.7M 18s 35000K .......... .......... .......... .......... .......... 13% 21.2M 18s 35050K .......... .......... .......... .......... .......... 13% 18.8M 18s 35100K .......... .......... .......... .......... .......... 13% 16.2M 18s 35150K .......... .......... .......... .......... .......... 13% 30.5M 18s 35200K .......... .......... .......... .......... .......... 13% 27.7M 18s 35250K .......... .......... .......... .......... .......... 13% 30.9M 18s 35300K .......... .......... .......... .......... .......... 13% 31.7M 18s 35350K .......... .......... .......... .......... .......... 13% 24.1M 18s 35400K .......... .......... .......... .......... .......... 13% 28.8M 18s 35450K .......... .......... .......... .......... .......... 13% 26.5M 18s 35500K .......... .......... .......... .......... .......... 13% 22.7M 18s 35550K .......... .......... .......... .......... .......... 13% 20.9M 18s 35600K .......... .......... .......... .......... .......... 13% 27.5M 18s 35650K .......... .......... .......... .......... .......... 13% 20.5M 18s 35700K .......... .......... .......... .......... .......... 13% 34.9M 18s 35750K .......... .......... .......... .......... .......... 13% 40.5M 18s 35800K .......... .......... .......... .......... .......... 13% 17.7M 18s 35850K .......... .......... .......... .......... .......... 13% 16.3M 18s 35900K .......... .......... .......... .......... .......... 13% 18.2M 18s 35950K .......... .......... .......... .......... .......... 13% 20.9M 18s 36000K .......... .......... .......... .......... .......... 13% 13.3M 18s 36050K .......... .......... .......... .......... .......... 13% 27.4M 18s 36100K .......... .......... .......... .......... .......... 13% 24.5M 18s 36150K .......... .......... .......... .......... .......... 13% 17.1M 18s 36200K .......... .......... .......... .......... .......... 13% 14.2M 18s 36250K .......... .......... .......... .......... .......... 13% 15.0M 18s 36300K .......... .......... .......... .......... .......... 13% 16.1M 18s 36350K .......... .......... .......... .......... .......... 13% 33.3M 18s 36400K .......... .......... .......... .......... .......... 13% 223M 18s 36450K .......... .......... .......... .......... .......... 13% 15.8M 18s 36500K .......... .......... .......... .......... .......... 13% 16.7M 18s 36550K .......... .......... .......... .......... .......... 13% 16.0M 18s 36600K .......... .......... .......... .......... .......... 13% 16.4M 18s 36650K .......... .......... .......... .......... .......... 13% 12.3M 18s 36700K .......... .......... .......... .......... .......... 13% 19.7M 18s 36750K .......... .......... .......... .......... .......... 13% 23.2M 18s 36800K .......... .......... .......... .......... .......... 13% 25.3M 18s 36850K .......... .......... .......... .......... .......... 14% 14.9M 18s 36900K .......... .......... .......... .......... .......... 14% 29.5M 18s 36950K .......... .......... .......... .......... .......... 14% 21.2M 18s 37000K .......... .......... .......... .......... .......... 14% 12.0M 18s 37050K .......... .......... .......... .......... .......... 14% 20.6M 18s 37100K .......... .......... .......... .......... .......... 14% 11.5M 18s 37150K .......... .......... .......... .......... .......... 14% 22.0M 18s 37200K .......... .......... .......... .......... .......... 14% 22.5M 18s 37250K .......... .......... .......... .......... .......... 14% 26.3M 18s 37300K .......... .......... .......... .......... .......... 14% 43.9M 18s 37350K .......... .......... .......... .......... .......... 14% 14.4M 18s 37400K .......... .......... .......... .......... .......... 14% 24.5M 18s 37450K .......... .......... .......... .......... .......... 14% 12.1M 18s 37500K .......... .......... .......... .......... .......... 14% 18.8M 18s 37550K .......... .......... .......... .......... .......... 14% 9.41M 18s 37600K .......... .......... .......... .......... .......... 14% 905K 18s 37650K .......... .......... .......... .......... .......... 14% 14.0M 18s 37700K .......... .......... .......... .......... .......... 14% 4.87M 18s 37750K .......... .......... .......... .......... .......... 14% 9.15M 18s 37800K .......... .......... .......... .......... .......... 14% 9.17M 18s 37850K .......... .......... .......... .......... .......... 14% 14.0M 18s 37900K .......... .......... .......... .......... .......... 14% 17.0M 18s 37950K .......... .......... .......... .......... .......... 14% 15.9M 18s 38000K .......... .......... .......... .......... .......... 14% 24.6M 18s 38050K .......... .......... .......... .......... .......... 14% 16.0M 18s 38100K .......... .......... .......... .......... .......... 14% 7.92M 18s 38150K .......... .......... .......... .......... .......... 14% 10.4M 18s 38200K .......... .......... .......... .......... .......... 14% 7.72M 18s 38250K .......... .......... .......... .......... .......... 14% 14.7M 18s 38300K .......... .......... .......... .......... .......... 14% 26.1M 18s 38350K .......... .......... .......... .......... .......... 14% 8.49M 18s 38400K .......... .......... .......... .......... .......... 14% 14.6M 18s 38450K .......... .......... .......... .......... .......... 14% 8.10M 18s 38500K .......... .......... .......... .......... .......... 14% 13.2M 18s 38550K .......... .......... .......... .......... .......... 14% 14.7M 18s 38600K .......... .......... .......... .......... .......... 14% 11.2M 18s 38650K .......... .......... .......... .......... .......... 14% 9.10M 18s 38700K .......... .......... .......... .......... .......... 14% 9.37M 18s 38750K .......... .......... .......... .......... .......... 14% 8.49M 18s 38800K .......... .......... .......... .......... .......... 14% 6.34M 18s 38850K .......... .......... .......... .......... .......... 14% 8.07M 18s 38900K .......... .......... .......... .......... .......... 14% 13.7M 18s 38950K .......... .......... .......... .......... .......... 14% 11.4M 18s 39000K .......... .......... .......... .......... .......... 14% 13.2M 18s 39050K .......... .......... .......... .......... .......... 14% 8.38M 18s 39100K .......... .......... .......... .......... .......... 14% 9.47M 18s 39150K .......... .......... .......... .......... .......... 14% 19.5M 18s 39200K .......... .......... .......... .......... .......... 14% 11.9M 18s 39250K .......... .......... .......... .......... .......... 14% 14.0M 18s 39300K .......... .......... .......... .......... .......... 14% 9.03M 18s 39350K .......... .......... .......... .......... .......... 14% 8.80M 18s 39400K .......... .......... .......... .......... .......... 14% 9.66M 18s 39450K .......... .......... .......... .......... .......... 14% 10.7M 18s 39500K .......... .......... .......... .......... .......... 15% 8.56M 18s 39550K .......... .......... .......... .......... .......... 15% 15.5M 18s 39600K .......... .......... .......... .......... .......... 15% 13.3M 18s 39650K .......... .......... .......... .......... .......... 15% 18.6M 18s 39700K .......... .......... .......... .......... .......... 15% 17.8M 18s 39750K .......... .......... .......... .......... .......... 15% 15.7M 18s 39800K .......... .......... .......... .......... .......... 15% 15.8M 18s 39850K .......... .......... .......... .......... .......... 15% 15.9M 18s 39900K .......... .......... .......... .......... .......... 15% 18.2M 18s 39950K .......... .......... .......... .......... .......... 15% 14.8M 18s 40000K .......... .......... .......... .......... .......... 15% 23.4M 18s 40050K .......... .......... .......... .......... .......... 15% 12.9M 18s 40100K .......... .......... .......... .......... .......... 15% 12.6M 18s 40150K .......... .......... .......... .......... .......... 15% 13.7M 18s 40200K .......... .......... .......... .......... .......... 15% 8.15M 18s 40250K .......... .......... .......... .......... .......... 15% 7.05M 18s 40300K .......... .......... .......... .......... .......... 15% 6.18M 18s 40350K .......... .......... .......... .......... .......... 15% 6.85M 18s 40400K .......... .......... .......... .......... .......... 15% 9.54M 18s 40450K .......... .......... .......... .......... .......... 15% 5.31M 18s 40500K .......... .......... .......... .......... .......... 15% 5.38M 18s 40550K .......... .......... .......... .......... .......... 15% 6.19M 18s 40600K .......... .......... .......... .......... .......... 15% 6.61M 18s 40650K .......... .......... .......... .......... .......... 15% 4.63M 18s 40700K .......... .......... .......... .......... .......... 15% 8.39M 18s 40750K .......... .......... .......... .......... .......... 15% 7.33M 18s 40800K .......... .......... .......... .......... .......... 15% 8.09M 18s 40850K .......... .......... .......... .......... .......... 15% 6.84M 18s 40900K .......... .......... .......... .......... .......... 15% 6.97M 18s 40950K .......... .......... .......... .......... .......... 15% 5.34M 18s 41000K .......... .......... .......... .......... .......... 15% 13.7M 18s 41050K .......... .......... .......... .......... .......... 15% 6.33M 18s 41100K .......... .......... .......... .......... .......... 15% 12.0M 18s 41150K .......... .......... .......... .......... .......... 15% 10.9M 18s 41200K .......... .......... .......... .......... .......... 15% 6.38M 18s 41250K .......... .......... .......... .......... .......... 15% 8.74M 18s 41300K .......... .......... .......... .......... .......... 15% 8.46M 18s 41350K .......... .......... .......... .......... .......... 15% 9.50M 18s 41400K .......... .......... .......... .......... .......... 15% 12.0M 18s 41450K .......... .......... .......... .......... .......... 15% 10.9M 18s 41500K .......... .......... .......... .......... .......... 15% 24.9M 18s 41550K .......... .......... .......... .......... .......... 15% 12.8M 18s 41600K .......... .......... .......... .......... .......... 15% 22.4M 18s 41650K .......... .......... .......... .......... .......... 15% 14.4M 18s 41700K .......... .......... .......... .......... .......... 15% 18.5M 18s 41750K .......... .......... .......... .......... .......... 15% 13.0M 18s 41800K .......... .......... .......... .......... .......... 15% 23.8M 18s 41850K .......... .......... .......... .......... .......... 15% 11.6M 18s 41900K .......... .......... .......... .......... .......... 15% 17.5M 18s 41950K .......... .......... .......... .......... .......... 15% 13.5M 18s 42000K .......... .......... .......... .......... .......... 15% 12.5M 18s 42050K .......... .......... .......... .......... .......... 15% 16.3M 18s 42100K .......... .......... .......... .......... .......... 16% 11.1M 18s 42150K .......... .......... .......... .......... .......... 16% 14.4M 18s 42200K .......... .......... .......... .......... .......... 16% 10.8M 18s 42250K .......... .......... .......... .......... .......... 16% 18.0M 18s 42300K .......... .......... .......... .......... .......... 16% 7.43M 18s 42350K .......... .......... .......... .......... .......... 16% 20.6M 18s 42400K .......... .......... .......... .......... .......... 16% 17.2M 18s 42450K .......... .......... .......... .......... .......... 16% 8.25M 18s 42500K .......... .......... .......... .......... .......... 16% 10.3M 18s 42550K .......... .......... .......... .......... .......... 16% 11.2M 18s 42600K .......... .......... .......... .......... .......... 16% 9.79M 18s 42650K .......... .......... .......... .......... .......... 16% 8.00M 18s 42700K .......... .......... .......... .......... .......... 16% 8.83M 18s 42750K .......... .......... .......... .......... .......... 16% 8.43M 18s 42800K .......... .......... .......... .......... .......... 16% 7.45M 18s 42850K .......... .......... .......... .......... .......... 16% 6.31M 18s 42900K .......... .......... .......... .......... .......... 16% 10.9M 18s 42950K .......... .......... .......... .......... .......... 16% 4.79M 18s 43000K .......... .......... .......... .......... .......... 16% 21.7M 18s 43050K .......... .......... .......... .......... .......... 16% 5.87M 18s 43100K .......... .......... .......... .......... .......... 16% 11.4M 18s 43150K .......... .......... .......... .......... .......... 16% 9.67M 18s 43200K .......... .......... .......... .......... .......... 16% 10.1M 18s 43250K .......... .......... .......... .......... .......... 16% 9.61M 18s 43300K .......... .......... .......... .......... .......... 16% 9.77M 18s 43350K .......... .......... .......... .......... .......... 16% 10.0M 18s 43400K .......... .......... .......... .......... .......... 16% 9.14M 18s 43450K .......... .......... .......... .......... .......... 16% 17.0M 18s 43500K .......... .......... .......... .......... .......... 16% 12.1M 18s 43550K .......... .......... .......... .......... .......... 16% 14.9M 18s 43600K .......... .......... .......... .......... .......... 16% 16.5M 18s 43650K .......... .......... .......... .......... .......... 16% 9.67M 18s 43700K .......... .......... .......... .......... .......... 16% 11.7M 18s 43750K .......... .......... .......... .......... .......... 16% 13.6M 18s 43800K .......... .......... .......... .......... .......... 16% 6.32M 18s 43850K .......... .......... .......... .......... .......... 16% 69.3M 18s 43900K .......... .......... .......... .......... .......... 16% 8.71M 18s 43950K .......... .......... .......... .......... .......... 16% 7.62M 18s 44000K .......... .......... .......... .......... .......... 16% 7.11M 18s 44050K .......... .......... .......... .......... .......... 16% 10.9M 18s 44100K .......... .......... .......... .......... .......... 16% 10.6M 18s 44150K .......... .......... .......... .......... .......... 16% 10.2M 18s 44200K .......... .......... .......... .......... .......... 16% 10.2M 18s 44250K .......... .......... .......... .......... .......... 16% 9.97M 18s 44300K .......... .......... .......... .......... .......... 16% 8.91M 18s 44350K .......... .......... .......... .......... .......... 16% 8.95M 18s 44400K .......... .......... .......... .......... .......... 16% 9.52M 18s 44450K .......... .......... .......... .......... .......... 16% 16.3M 18s 44500K .......... .......... .......... .......... .......... 16% 9.66M 18s 44550K .......... .......... .......... .......... .......... 16% 8.24M 18s 44600K .......... .......... .......... .......... .......... 16% 14.8M 18s 44650K .......... .......... .......... .......... .......... 16% 6.84M 18s 44700K .......... .......... .......... .......... .......... 16% 8.68M 18s 44750K .......... .......... .......... .......... .......... 17% 8.64M 18s 44800K .......... .......... .......... .......... .......... 17% 10.9M 18s 44850K .......... .......... .......... .......... .......... 17% 10.5M 18s 44900K .......... .......... .......... .......... .......... 17% 11.1M 18s 44950K .......... .......... .......... .......... .......... 17% 9.85M 18s 45000K .......... .......... .......... .......... .......... 17% 13.3M 18s 45050K .......... .......... .......... .......... .......... 17% 11.4M 18s 45100K .......... .......... .......... .......... .......... 17% 9.95M 18s 45150K .......... .......... .......... .......... .......... 17% 15.8M 18s 45200K .......... .......... .......... .......... .......... 17% 17.0M 18s 45250K .......... .......... .......... .......... .......... 17% 32.2M 18s 45300K .......... .......... .......... .......... .......... 17% 38.9M 18s 45350K .......... .......... .......... .......... .......... 17% 29.4M 18s 45400K .......... .......... .......... .......... .......... 17% 17.8M 18s 45450K .......... .......... .......... .......... .......... 17% 33.7M 18s 45500K .......... .......... .......... .......... .......... 17% 21.6M 18s 45550K .......... .......... .......... .......... .......... 17% 34.5M 18s 45600K .......... .......... .......... .......... .......... 17% 34.3M 18s 45650K .......... .......... .......... .......... .......... 17% 23.0M 18s 45700K .......... .......... .......... .......... .......... 17% 32.4M 18s 45750K .......... .......... .......... .......... .......... 17% 36.5M 18s 45800K .......... .......... .......... .......... .......... 17% 20.4M 18s 45850K .......... .......... .......... .......... .......... 17% 22.0M 18s 45900K .......... .......... .......... .......... .......... 17% 56.4M 18s 45950K .......... .......... .......... .......... .......... 17% 18.5M 18s 46000K .......... .......... .......... .......... .......... 17% 31.2M 18s 46050K .......... .......... .......... .......... .......... 17% 22.3M 18s 46100K .......... .......... .......... .......... .......... 17% 15.1M 18s 46150K .......... .......... .......... .......... .......... 17% 22.3M 18s 46200K .......... .......... .......... .......... .......... 17% 23.6M 18s 46250K .......... .......... .......... .......... .......... 17% 14.3M 18s 46300K .......... .......... .......... .......... .......... 17% 27.6M 18s 46350K .......... .......... .......... .......... .......... 17% 18.4M 18s 46400K .......... .......... .......... .......... .......... 17% 16.6M 18s 46450K .......... .......... .......... .......... .......... 17% 16.4M 18s 46500K .......... .......... .......... .......... .......... 17% 15.5M 18s 46550K .......... .......... .......... .......... .......... 17% 11.7M 18s 46600K .......... .......... .......... .......... .......... 17% 9.11M 18s 46650K .......... .......... .......... .......... .......... 17% 9.84M 18s 46700K .......... .......... .......... .......... .......... 17% 11.1M 18s 46750K .......... .......... .......... .......... .......... 17% 16.4M 18s 46800K .......... .......... .......... .......... .......... 17% 12.5M 18s 46850K .......... .......... .......... .......... .......... 17% 12.8M 18s 46900K .......... .......... .......... .......... .......... 17% 11.6M 18s 46950K .......... .......... .......... .......... .......... 17% 5.85M 18s 47000K .......... .......... .......... .......... .......... 17% 88.0M 18s 47050K .......... .......... .......... .......... .......... 17% 8.30M 18s 47100K .......... .......... .......... .......... .......... 17% 4.35M 18s 47150K .......... .......... .......... .......... .......... 17% 4.87M 18s 47200K .......... .......... .......... .......... .......... 17% 5.48M 18s 47250K .......... .......... .......... .......... .......... 17% 6.77M 18s 47300K .......... .......... .......... .......... .......... 17% 6.63M 18s 47350K .......... .......... .......... .......... .......... 17% 6.18M 18s 47400K .......... .......... .......... .......... .......... 18% 5.32M 18s 47450K .......... .......... .......... .......... .......... 18% 8.08M 18s 47500K .......... .......... .......... .......... .......... 18% 7.68M 18s 47550K .......... .......... .......... .......... .......... 18% 7.53M 18s 47600K .......... .......... .......... .......... .......... 18% 7.06M 18s 47650K .......... .......... .......... .......... .......... 18% 6.36M 18s 47700K .......... .......... .......... .......... .......... 18% 8.35M 18s 47750K .......... .......... .......... .......... .......... 18% 8.26M 18s 47800K .......... .......... .......... .......... .......... 18% 10.7M 18s 47850K .......... .......... .......... .......... .......... 18% 8.69M 18s 47900K .......... .......... .......... .......... .......... 18% 10.6M 18s 47950K .......... .......... .......... .......... .......... 18% 7.58M 18s 48000K .......... .......... .......... .......... .......... 18% 10.7M 18s 48050K .......... .......... .......... .......... .......... 18% 9.89M 18s 48100K .......... .......... .......... .......... .......... 18% 10.5M 18s 48150K .......... .......... .......... .......... .......... 18% 6.11M 18s 48200K .......... .......... .......... .......... .......... 18% 8.52M 18s 48250K .......... .......... .......... .......... .......... 18% 8.49M 18s 48300K .......... .......... .......... .......... .......... 18% 6.30M 18s 48350K .......... .......... .......... .......... .......... 18% 7.05M 18s 48400K .......... .......... .......... .......... .......... 18% 6.16M 18s 48450K .......... .......... .......... .......... .......... 18% 5.86M 18s 48500K .......... .......... .......... .......... .......... 18% 9.51M 18s 48550K .......... .......... .......... .......... .......... 18% 7.24M 18s 48600K .......... .......... .......... .......... .......... 18% 5.48M 18s 48650K .......... .......... .......... .......... .......... 18% 8.04M 18s 48700K .......... .......... .......... .......... .......... 18% 6.39M 18s 48750K .......... .......... .......... .......... .......... 18% 7.97M 18s 48800K .......... .......... .......... .......... .......... 18% 9.55M 18s 48850K .......... .......... .......... .......... .......... 18% 4.71M 18s 48900K .......... .......... .......... .......... .......... 18% 62.7M 18s 48950K .......... .......... .......... .......... .......... 18% 8.41M 18s 49000K .......... .......... .......... .......... .......... 18% 7.75M 18s 49050K .......... .......... .......... .......... .......... 18% 13.8M 18s 49100K .......... .......... .......... .......... .......... 18% 7.71M 18s 49150K .......... .......... .......... .......... .......... 18% 8.23M 18s 49200K .......... .......... .......... .......... .......... 18% 7.81M 18s 49250K .......... .......... .......... .......... .......... 18% 13.7M 18s 49300K .......... .......... .......... .......... .......... 18% 11.9M 18s 49350K .......... .......... .......... .......... .......... 18% 6.74M 18s 49400K .......... .......... .......... .......... .......... 18% 12.0M 18s 49450K .......... .......... .......... .......... .......... 18% 11.8M 18s 49500K .......... .......... .......... .......... .......... 18% 11.5M 18s 49550K .......... .......... .......... .......... .......... 18% 11.9M 18s 49600K .......... .......... .......... .......... .......... 18% 6.26M 18s 49650K .......... .......... .......... .......... .......... 18% 11.3M 18s 49700K .......... .......... .......... .......... .......... 18% 11.5M 18s 49750K .......... .......... .......... .......... .......... 18% 8.64M 18s 49800K .......... .......... .......... .......... .......... 18% 9.44M 18s 49850K .......... .......... .......... .......... .......... 18% 9.57M 18s 49900K .......... .......... .......... .......... .......... 18% 7.91M 18s 49950K .......... .......... .......... .......... .......... 18% 9.28M 18s 50000K .......... .......... .......... .......... .......... 19% 11.7M 18s 50050K .......... .......... .......... .......... .......... 19% 9.74M 18s 50100K .......... .......... .......... .......... .......... 19% 5.26M 18s 50150K .......... .......... .......... .......... .......... 19% 13.1M 18s 50200K .......... .......... .......... .......... .......... 19% 11.1M 18s 50250K .......... .......... .......... .......... .......... 19% 6.09M 18s 50300K .......... .......... .......... .......... .......... 19% 12.1M 18s 50350K .......... .......... .......... .......... .......... 19% 6.10M 18s 50400K .......... .......... .......... .......... .......... 19% 11.7M 18s 50450K .......... .......... .......... .......... .......... 19% 7.98M 18s 50500K .......... .......... .......... .......... .......... 19% 7.68M 18s 50550K .......... .......... .......... .......... .......... 19% 8.24M 18s 50600K .......... .......... .......... .......... .......... 19% 11.3M 18s 50650K .......... .......... .......... .......... .......... 19% 7.73M 18s 50700K .......... .......... .......... .......... .......... 19% 7.80M 18s 50750K .......... .......... .......... .......... .......... 19% 11.3M 18s 50800K .......... .......... .......... .......... .......... 19% 10.6M 18s 50850K .......... .......... .......... .......... .......... 19% 7.54M 18s 50900K .......... .......... .......... .......... .......... 19% 9.18M 18s 50950K .......... .......... .......... .......... .......... 19% 9.32M 18s 51000K .......... .......... .......... .......... .......... 19% 14.4M 18s 51050K .......... .......... .......... .......... .......... 19% 8.10M 18s 51100K .......... .......... .......... .......... .......... 19% 9.57M 18s 51150K .......... .......... .......... .......... .......... 19% 9.83M 18s 51200K .......... .......... .......... .......... .......... 19% 6.95M 18s 51250K .......... .......... .......... .......... .......... 19% 9.18M 18s 51300K .......... .......... .......... .......... .......... 19% 7.53M 18s 51350K .......... .......... .......... .......... .......... 19% 6.31M 18s 51400K .......... .......... .......... .......... .......... 19% 10.5M 18s 51450K .......... .......... .......... .......... .......... 19% 6.11M 18s 51500K .......... .......... .......... .......... .......... 19% 8.45M 18s 51550K .......... .......... .......... .......... .......... 19% 11.4M 18s 51600K .......... .......... .......... .......... .......... 19% 8.09M 18s 51650K .......... .......... .......... .......... .......... 19% 8.80M 18s 51700K .......... .......... .......... .......... .......... 19% 3.60M 18s 51750K .......... .......... .......... .......... .......... 19% 4.81M 18s 51800K .......... .......... .......... .......... .......... 19% 8.33M 18s 51850K .......... .......... .......... .......... .......... 19% 6.13M 18s 51900K .......... .......... .......... .......... .......... 19% 6.48M 18s 51950K .......... .......... .......... .......... .......... 19% 5.85M 18s 52000K .......... .......... .......... .......... .......... 19% 7.70M 18s 52050K .......... .......... .......... .......... .......... 19% 6.37M 18s 52100K .......... .......... .......... .......... .......... 19% 4.97M 18s 52150K .......... .......... .......... .......... .......... 19% 6.55M 18s 52200K .......... .......... .......... .......... .......... 19% 8.23M 18s 52250K .......... .......... .......... .......... .......... 19% 9.77M 18s 52300K .......... .......... .......... .......... .......... 19% 8.38M 18s 52350K .......... .......... .......... .......... .......... 19% 8.99M 18s 52400K .......... .......... .......... .......... .......... 19% 10.5M 18s 52450K .......... .......... .......... .......... .......... 19% 7.39M 18s 52500K .......... .......... .......... .......... .......... 19% 8.78M 18s 52550K .......... .......... .......... .......... .......... 19% 6.69M 18s 52600K .......... .......... .......... .......... .......... 19% 9.66M 18s 52650K .......... .......... .......... .......... .......... 20% 9.21M 18s 52700K .......... .......... .......... .......... .......... 20% 7.44M 18s 52750K .......... .......... .......... .......... .......... 20% 8.31M 18s 52800K .......... .......... .......... .......... .......... 20% 8.13M 18s 52850K .......... .......... .......... .......... .......... 20% 5.74M 18s 52900K .......... .......... .......... .......... .......... 20% 7.45M 18s 52950K .......... .......... .......... .......... .......... 20% 5.57M 18s 53000K .......... .......... .......... .......... .......... 20% 6.69M 18s 53050K .......... .......... .......... .......... .......... 20% 5.04M 18s 53100K .......... .......... .......... .......... .......... 20% 7.03M 18s 53150K .......... .......... .......... .......... .......... 20% 6.87M 18s 53200K .......... .......... .......... .......... .......... 20% 5.47M 18s 53250K .......... .......... .......... .......... .......... 20% 5.37M 18s 53300K .......... .......... .......... .......... .......... 20% 6.68M 18s 53350K .......... .......... .......... .......... .......... 20% 7.43M 18s 53400K .......... .......... .......... .......... .......... 20% 7.52M 18s 53450K .......... .......... .......... .......... .......... 20% 6.57M 18s 53500K .......... .......... .......... .......... .......... 20% 14.6M 18s 53550K .......... .......... .......... .......... .......... 20% 9.14M 18s 53600K .......... .......... .......... .......... .......... 20% 11.3M 18s 53650K .......... .......... .......... .......... .......... 20% 9.56M 18s 53700K .......... .......... .......... .......... .......... 20% 8.30M 18s 53750K .......... .......... .......... .......... .......... 20% 9.85M 18s 53800K .......... .......... .......... .......... .......... 20% 10.5M 18s 53850K .......... .......... .......... .......... .......... 20% 7.92M 18s 53900K .......... .......... .......... .......... .......... 20% 9.11M 18s 53950K .......... .......... .......... .......... .......... 20% 8.39M 18s 54000K .......... .......... .......... .......... .......... 20% 7.15M 18s 54050K .......... .......... .......... .......... .......... 20% 15.0M 18s 54100K .......... .......... .......... .......... .......... 20% 6.76M 18s 54150K .......... .......... .......... .......... .......... 20% 10.1M 18s 54200K .......... .......... .......... .......... .......... 20% 9.72M 18s 54250K .......... .......... .......... .......... .......... 20% 15.8M 18s 54300K .......... .......... .......... .......... .......... 20% 8.72M 18s 54350K .......... .......... .......... .......... .......... 20% 8.95M 18s 54400K .......... .......... .......... .......... .......... 20% 11.1M 18s 54450K .......... .......... .......... .......... .......... 20% 11.0M 18s 54500K .......... .......... .......... .......... .......... 20% 8.97M 18s 54550K .......... .......... .......... .......... .......... 20% 12.8M 18s 54600K .......... .......... .......... .......... .......... 20% 13.0M 18s 54650K .......... .......... .......... .......... .......... 20% 9.69M 18s 54700K .......... .......... .......... .......... .......... 20% 9.63M 18s 54750K .......... .......... .......... .......... .......... 20% 11.3M 18s 54800K .......... .......... .......... .......... .......... 20% 10.1M 18s 54850K .......... .......... .......... .......... .......... 20% 9.94M 18s 54900K .......... .......... .......... .......... .......... 20% 9.23M 18s 54950K .......... .......... .......... .......... .......... 20% 11.3M 18s 55000K .......... .......... .......... .......... .......... 20% 12.5M 18s 55050K .......... .......... .......... .......... .......... 20% 8.64M 18s 55100K .......... .......... .......... .......... .......... 20% 10.3M 18s 55150K .......... .......... .......... .......... .......... 20% 13.6M 18s 55200K .......... .......... .......... .......... .......... 20% 11.8M 18s 55250K .......... .......... .......... .......... .......... 20% 5.19M 18s 55300K .......... .......... .......... .......... .......... 21% 9.49M 18s 55350K .......... .......... .......... .......... .......... 21% 8.79M 18s 55400K .......... .......... .......... .......... .......... 21% 7.31M 18s 55450K .......... .......... .......... .......... .......... 21% 5.29M 18s 55500K .......... .......... .......... .......... .......... 21% 9.80M 18s 55550K .......... .......... .......... .......... .......... 21% 7.05M 18s 55600K .......... .......... .......... .......... .......... 21% 6.81M 18s 55650K .......... .......... .......... .......... .......... 21% 11.0M 18s 55700K .......... .......... .......... .......... .......... 21% 6.67M 18s 55750K .......... .......... .......... .......... .......... 21% 4.92M 18s 55800K .......... .......... .......... .......... .......... 21% 10.5M 18s 55850K .......... .......... .......... .......... .......... 21% 10.5M 18s 55900K .......... .......... .......... .......... .......... 21% 10.0M 18s 55950K .......... .......... .......... .......... .......... 21% 8.36M 18s 56000K .......... .......... .......... .......... .......... 21% 11.9M 18s 56050K .......... .......... .......... .......... .......... 21% 11.3M 18s 56100K .......... .......... .......... .......... .......... 21% 7.72M 18s 56150K .......... .......... .......... .......... .......... 21% 5.48M 18s 56200K .......... .......... .......... .......... .......... 21% 4.11M 18s 56250K .......... .......... .......... .......... .......... 21% 8.95M 18s 56300K .......... .......... .......... .......... .......... 21% 8.76M 18s 56350K .......... .......... .......... .......... .......... 21% 5.99M 18s 56400K .......... .......... .......... .......... .......... 21% 8.21M 18s 56450K .......... .......... .......... .......... .......... 21% 4.87M 18s 56500K .......... .......... .......... .......... .......... 21% 7.41M 18s 56550K .......... .......... .......... .......... .......... 21% 9.43M 18s 56600K .......... .......... .......... .......... .......... 21% 9.89M 18s 56650K .......... .......... .......... .......... .......... 21% 8.71M 18s 56700K .......... .......... .......... .......... .......... 21% 8.21M 18s 56750K .......... .......... .......... .......... .......... 21% 10.5M 18s 56800K .......... .......... .......... .......... .......... 21% 7.83M 18s 56850K .......... .......... .......... .......... .......... 21% 8.50M 18s 56900K .......... .......... .......... .......... .......... 21% 8.11M 18s 56950K .......... .......... .......... .......... .......... 21% 9.93M 18s 57000K .......... .......... .......... .......... .......... 21% 11.4M 18s 57050K .......... .......... .......... .......... .......... 21% 9.35M 18s 57100K .......... .......... .......... .......... .......... 21% 8.18M 18s 57150K .......... .......... .......... .......... .......... 21% 9.33M 18s 57200K .......... .......... .......... .......... .......... 21% 7.38M 18s 57250K .......... .......... .......... .......... .......... 21% 11.3M 18s 57300K .......... .......... .......... .......... .......... 21% 8.76M 18s 57350K .......... .......... .......... .......... .......... 21% 8.05M 18s 57400K .......... .......... .......... .......... .......... 21% 11.0M 18s 57450K .......... .......... .......... .......... .......... 21% 8.39M 18s 57500K .......... .......... .......... .......... .......... 21% 8.04M 18s 57550K .......... .......... .......... .......... .......... 21% 11.6M 18s 57600K .......... .......... .......... .......... .......... 21% 7.98M 18s 57650K .......... .......... .......... .......... .......... 21% 6.90M 18s 57700K .......... .......... .......... .......... .......... 21% 13.0M 18s 57750K .......... .......... .......... .......... .......... 21% 9.29M 18s 57800K .......... .......... .......... .......... .......... 21% 7.89M 18s 57850K .......... .......... .......... .......... .......... 21% 10.9M 18s 57900K .......... .......... .......... .......... .......... 22% 18.2M 18s 57950K .......... .......... .......... .......... .......... 22% 7.69M 18s 58000K .......... .......... .......... .......... .......... 22% 5.57M 18s 58050K .......... .......... .......... .......... .......... 22% 11.5M 18s 58100K .......... .......... .......... .......... .......... 22% 10.8M 18s 58150K .......... .......... .......... .......... .......... 22% 16.5M 18s 58200K .......... .......... .......... .......... .......... 22% 12.4M 18s 58250K .......... .......... .......... .......... .......... 22% 14.7M 18s 58300K .......... .......... .......... .......... .......... 22% 12.6M 18s 58350K .......... .......... .......... .......... .......... 22% 14.3M 18s 58400K .......... .......... .......... .......... .......... 22% 16.4M 18s 58450K .......... .......... .......... .......... .......... 22% 12.3M 18s 58500K .......... .......... .......... .......... .......... 22% 19.9M 18s 58550K .......... .......... .......... .......... .......... 22% 27.8M 18s 58600K .......... .......... .......... .......... .......... 22% 26.3M 18s 58650K .......... .......... .......... .......... .......... 22% 38.4M 18s 58700K .......... .......... .......... .......... .......... 22% 30.1M 18s 58750K .......... .......... .......... .......... .......... 22% 91.5M 18s 58800K .......... .......... .......... .......... .......... 22% 44.5M 18s 58850K .......... .......... .......... .......... .......... 22% 26.4M 18s 58900K .......... .......... .......... .......... .......... 22% 25.6M 18s 58950K .......... .......... .......... .......... .......... 22% 26.9M 18s 59000K .......... .......... .......... .......... .......... 22% 23.2M 18s 59050K .......... .......... .......... .......... .......... 22% 117M 18s 59100K .......... .......... .......... .......... .......... 22% 23.0M 18s 59150K .......... .......... .......... .......... .......... 22% 11.6M 18s 59200K .......... .......... .......... .......... .......... 22% 71.5M 18s 59250K .......... .......... .......... .......... .......... 22% 15.0M 18s 59300K .......... .......... .......... .......... .......... 22% 13.8M 18s 59350K .......... .......... .......... .......... .......... 22% 19.5M 18s 59400K .......... .......... .......... .......... .......... 22% 19.5M 18s 59450K .......... .......... .......... .......... .......... 22% 10.5M 18s 59500K .......... .......... .......... .......... .......... 22% 11.9M 18s 59550K .......... .......... .......... .......... .......... 22% 20.6M 18s 59600K .......... .......... .......... .......... .......... 22% 9.48M 18s 59650K .......... .......... .......... .......... .......... 22% 13.5M 18s 59700K .......... .......... .......... .......... .......... 22% 8.98M 18s 59750K .......... .......... .......... .......... .......... 22% 6.47M 18s 59800K .......... .......... .......... .......... .......... 22% 15.8M 18s 59850K .......... .......... .......... .......... .......... 22% 5.65M 18s 59900K .......... .......... .......... .......... .......... 22% 8.10M 18s 59950K .......... .......... .......... .......... .......... 22% 6.00M 18s 60000K .......... .......... .......... .......... .......... 22% 7.12M 18s 60050K .......... .......... .......... .......... .......... 22% 7.19M 18s 60100K .......... .......... .......... .......... .......... 22% 7.46M 18s 60150K .......... .......... .......... .......... .......... 22% 6.99M 18s 60200K .......... .......... .......... .......... .......... 22% 7.00M 18s 60250K .......... .......... .......... .......... .......... 22% 7.32M 18s 60300K .......... .......... .......... .......... .......... 22% 8.61M 18s 60350K .......... .......... .......... .......... .......... 22% 6.14M 18s 60400K .......... .......... .......... .......... .......... 22% 8.49M 18s 60450K .......... .......... .......... .......... .......... 22% 7.69M 18s 60500K .......... .......... .......... .......... .......... 22% 7.09M 18s 60550K .......... .......... .......... .......... .......... 23% 13.0M 18s 60600K .......... .......... .......... .......... .......... 23% 6.27M 18s 60650K .......... .......... .......... .......... .......... 23% 11.0M 18s 60700K .......... .......... .......... .......... .......... 23% 7.13M 18s 60750K .......... .......... .......... .......... .......... 23% 9.38M 18s 60800K .......... .......... .......... .......... .......... 23% 7.60M 18s 60850K .......... .......... .......... .......... .......... 23% 3.77M 18s 60900K .......... .......... .......... .......... .......... 23% 4.49M 18s 60950K .......... .......... .......... .......... .......... 23% 3.94M 18s 61000K .......... .......... .......... .......... .......... 23% 2.99M 18s 61050K .......... .......... .......... .......... .......... 23% 2.84M 18s 61100K .......... .......... .......... .......... .......... 23% 7.99M 18s 61150K .......... .......... .......... .......... .......... 23% 9.10M 18s 61200K .......... .......... .......... .......... .......... 23% 9.46M 18s 61250K .......... .......... .......... .......... .......... 23% 13.2M 18s 61300K .......... .......... .......... .......... .......... 23% 8.85M 18s 61350K .......... .......... .......... .......... .......... 23% 10.2M 18s 61400K .......... .......... .......... .......... .......... 23% 11.4M 18s 61450K .......... .......... .......... .......... .......... 23% 10.0M 18s 61500K .......... .......... .......... .......... .......... 23% 10.0M 18s 61550K .......... .......... .......... .......... .......... 23% 13.3M 18s 61600K .......... .......... .......... .......... .......... 23% 10.2M 18s 61650K .......... .......... .......... .......... .......... 23% 14.0M 18s 61700K .......... .......... .......... .......... .......... 23% 8.47M 18s 61750K .......... .......... .......... .......... .......... 23% 15.0M 18s 61800K .......... .......... .......... .......... .......... 23% 8.64M 18s 61850K .......... .......... .......... .......... .......... 23% 9.80M 18s 61900K .......... .......... .......... .......... .......... 23% 13.0M 18s 61950K .......... .......... .......... .......... .......... 23% 11.8M 18s 62000K .......... .......... .......... .......... .......... 23% 7.60M 18s 62050K .......... .......... .......... .......... .......... 23% 14.6M 18s 62100K .......... .......... .......... .......... .......... 23% 7.32M 18s 62150K .......... .......... .......... .......... .......... 23% 11.7M 18s 62200K .......... .......... .......... .......... .......... 23% 11.7M 18s 62250K .......... .......... .......... .......... .......... 23% 7.26M 18s 62300K .......... .......... .......... .......... .......... 23% 19.2M 18s 62350K .......... .......... .......... .......... .......... 23% 5.81M 18s 62400K .......... .......... .......... .......... .......... 23% 19.8M 18s 62450K .......... .......... .......... .......... .......... 23% 7.66M 18s 62500K .......... .......... .......... .......... .......... 23% 13.4M 18s 62550K .......... .......... .......... .......... .......... 23% 16.5M 18s 62600K .......... .......... .......... .......... .......... 23% 11.8M 18s 62650K .......... .......... .......... .......... .......... 23% 16.3M 18s 62700K .......... .......... .......... .......... .......... 23% 17.0M 18s 62750K .......... .......... .......... .......... .......... 23% 11.2M 18s 62800K .......... .......... .......... .......... .......... 23% 17.7M 18s 62850K .......... .......... .......... .......... .......... 23% 12.4M 18s 62900K .......... .......... .......... .......... .......... 23% 12.5M 18s 62950K .......... .......... .......... .......... .......... 23% 12.0M 18s 63000K .......... .......... .......... .......... .......... 23% 15.5M 18s 63050K .......... .......... .......... .......... .......... 23% 7.50M 18s 63100K .......... .......... .......... .......... .......... 23% 6.99M 18s 63150K .......... .......... .......... .......... .......... 23% 12.6M 18s 63200K .......... .......... .......... .......... .......... 24% 10.0M 18s 63250K .......... .......... .......... .......... .......... 24% 11.9M 18s 63300K .......... .......... .......... .......... .......... 24% 11.5M 18s 63350K .......... .......... .......... .......... .......... 24% 8.56M 18s 63400K .......... .......... .......... .......... .......... 24% 8.17M 18s 63450K .......... .......... .......... .......... .......... 24% 7.14M 18s 63500K .......... .......... .......... .......... .......... 24% 9.40M 18s 63550K .......... .......... .......... .......... .......... 24% 9.63M 18s 63600K .......... .......... .......... .......... .......... 24% 7.86M 18s 63650K .......... .......... .......... .......... .......... 24% 10.5M 18s 63700K .......... .......... .......... .......... .......... 24% 7.54M 18s 63750K .......... .......... .......... .......... .......... 24% 10.9M 18s 63800K .......... .......... .......... .......... .......... 24% 8.80M 18s 63850K .......... .......... .......... .......... .......... 24% 9.40M 18s 63900K .......... .......... .......... .......... .......... 24% 9.83M 18s 63950K .......... .......... .......... .......... .......... 24% 11.8M 18s 64000K .......... .......... .......... .......... .......... 24% 11.0M 18s 64050K .......... .......... .......... .......... .......... 24% 10.6M 18s 64100K .......... .......... .......... .......... .......... 24% 9.88M 18s 64150K .......... .......... .......... .......... .......... 24% 5.85M 18s 64200K .......... .......... .......... .......... .......... 24% 14.0M 18s 64250K .......... .......... .......... .......... .......... 24% 15.5M 18s 64300K .......... .......... .......... .......... .......... 24% 10.1M 18s 64350K .......... .......... .......... .......... .......... 24% 11.1M 18s 64400K .......... .......... .......... .......... .......... 24% 10.6M 18s 64450K .......... .......... .......... .......... .......... 24% 12.8M 18s 64500K .......... .......... .......... .......... .......... 24% 14.7M 18s 64550K .......... .......... .......... .......... .......... 24% 11.8M 18s 64600K .......... .......... .......... .......... .......... 24% 12.2M 18s 64650K .......... .......... .......... .......... .......... 24% 5.88M 18s 64700K .......... .......... .......... .......... .......... 24% 6.15M 18s 64750K .......... .......... .......... .......... .......... 24% 7.08M 18s 64800K .......... .......... .......... .......... .......... 24% 12.5M 18s 64850K .......... .......... .......... .......... .......... 24% 8.58M 18s 64900K .......... .......... .......... .......... .......... 24% 8.39M 18s 64950K .......... .......... .......... .......... .......... 24% 8.79M 18s 65000K .......... .......... .......... .......... .......... 24% 14.3M 18s 65050K .......... .......... .......... .......... .......... 24% 11.7M 18s 65100K .......... .......... .......... .......... .......... 24% 11.2M 18s 65150K .......... .......... .......... .......... .......... 24% 13.3M 18s 65200K .......... .......... .......... .......... .......... 24% 12.5M 18s 65250K .......... .......... .......... .......... .......... 24% 13.1M 18s 65300K .......... .......... .......... .......... .......... 24% 17.1M 18s 65350K .......... .......... .......... .......... .......... 24% 10.9M 18s 65400K .......... .......... .......... .......... .......... 24% 12.1M 18s 65450K .......... .......... .......... .......... .......... 24% 14.8M 18s 65500K .......... .......... .......... .......... .......... 24% 18.8M 18s 65550K .......... .......... .......... .......... .......... 24% 17.4M 18s 65600K .......... .......... .......... .......... .......... 24% 12.7M 18s 65650K .......... .......... .......... .......... .......... 24% 11.0M 18s 65700K .......... .......... .......... .......... .......... 24% 12.2M 18s 65750K .......... .......... .......... .......... .......... 24% 11.1M 18s 65800K .......... .......... .......... .......... .......... 25% 9.40M 18s 65850K .......... .......... .......... .......... .......... 25% 8.41M 18s 65900K .......... .......... .......... .......... .......... 25% 16.0M 18s 65950K .......... .......... .......... .......... .......... 25% 15.3M 18s 66000K .......... .......... .......... .......... .......... 25% 13.5M 18s 66050K .......... .......... .......... .......... .......... 25% 15.6M 18s 66100K .......... .......... .......... .......... .......... 25% 9.68M 18s 66150K .......... .......... .......... .......... .......... 25% 6.57M 18s 66200K .......... .......... .......... .......... .......... 25% 33.6M 18s 66250K .......... .......... .......... .......... .......... 25% 12.8M 18s 66300K .......... .......... .......... .......... .......... 25% 7.35M 18s 66350K .......... .......... .......... .......... .......... 25% 8.08M 18s 66400K .......... .......... .......... .......... .......... 25% 10.4M 18s 66450K .......... .......... .......... .......... .......... 25% 8.67M 18s 66500K .......... .......... .......... .......... .......... 25% 11.9M 18s 66550K .......... .......... .......... .......... .......... 25% 16.1M 18s 66600K .......... .......... .......... .......... .......... 25% 9.67M 18s 66650K .......... .......... .......... .......... .......... 25% 16.5M 18s 66700K .......... .......... .......... .......... .......... 25% 10.6M 18s 66750K .......... .......... .......... .......... .......... 25% 10.6M 18s 66800K .......... .......... .......... .......... .......... 25% 16.9M 18s 66850K .......... .......... .......... .......... .......... 25% 9.99M 18s 66900K .......... .......... .......... .......... .......... 25% 18.9M 18s 66950K .......... .......... .......... .......... .......... 25% 15.1M 18s 67000K .......... .......... .......... .......... .......... 25% 11.6M 18s 67050K .......... .......... .......... .......... .......... 25% 26.4M 18s 67100K .......... .......... .......... .......... .......... 25% 12.6M 18s 67150K .......... .......... .......... .......... .......... 25% 20.5M 18s 67200K .......... .......... .......... .......... .......... 25% 14.9M 18s 67250K .......... .......... .......... .......... .......... 25% 17.9M 17s 67300K .......... .......... .......... .......... .......... 25% 15.5M 17s 67350K .......... .......... .......... .......... .......... 25% 14.3M 17s 67400K .......... .......... .......... .......... .......... 25% 16.1M 17s 67450K .......... .......... .......... .......... .......... 25% 10.8M 17s 67500K .......... .......... .......... .......... .......... 25% 14.4M 17s 67550K .......... .......... .......... .......... .......... 25% 12.7M 17s 67600K .......... .......... .......... .......... .......... 25% 9.18M 17s 67650K .......... .......... .......... .......... .......... 25% 13.4M 17s 67700K .......... .......... .......... .......... .......... 25% 11.1M 17s 67750K .......... .......... .......... .......... .......... 25% 20.1M 17s 67800K .......... .......... .......... .......... .......... 25% 14.3M 17s 67850K .......... .......... .......... .......... .......... 25% 9.06M 17s 67900K .......... .......... .......... .......... .......... 25% 16.2M 17s 67950K .......... .......... .......... .......... .......... 25% 12.7M 17s 68000K .......... .......... .......... .......... .......... 25% 12.1M 17s 68050K .......... .......... .......... .......... .......... 25% 10.8M 17s 68100K .......... .......... .......... .......... .......... 25% 15.3M 17s 68150K .......... .......... .......... .......... .......... 25% 12.4M 17s 68200K .......... .......... .......... .......... .......... 25% 11.2M 17s 68250K .......... .......... .......... .......... .......... 25% 8.70M 17s 68300K .......... .......... .......... .......... .......... 25% 15.6M 17s 68350K .......... .......... .......... .......... .......... 25% 9.16M 17s 68400K .......... .......... .......... .......... .......... 25% 12.4M 17s 68450K .......... .......... .......... .......... .......... 26% 6.10M 17s 68500K .......... .......... .......... .......... .......... 26% 53.1M 17s 68550K .......... .......... .......... .......... .......... 26% 15.7M 17s 68600K .......... .......... .......... .......... .......... 26% 7.14M 17s 68650K .......... .......... .......... .......... .......... 26% 70.5M 17s 68700K .......... .......... .......... .......... .......... 26% 9.02M 17s 68750K .......... .......... .......... .......... .......... 26% 8.74M 17s 68800K .......... .......... .......... .......... .......... 26% 16.9M 17s 68850K .......... .......... .......... .......... .......... 26% 6.17M 17s 68900K .......... .......... .......... .......... .......... 26% 10.3M 17s 68950K .......... .......... .......... .......... .......... 26% 8.80M 17s 69000K .......... .......... .......... .......... .......... 26% 7.10M 17s 69050K .......... .......... .......... .......... .......... 26% 21.8M 17s 69100K .......... .......... .......... .......... .......... 26% 19.7M 17s 69150K .......... .......... .......... .......... .......... 26% 15.8M 17s 69200K .......... .......... .......... .......... .......... 26% 17.1M 17s 69250K .......... .......... .......... .......... .......... 26% 12.4M 17s 69300K .......... .......... .......... .......... .......... 26% 18.7M 17s 69350K .......... .......... .......... .......... .......... 26% 10.8M 17s 69400K .......... .......... .......... .......... .......... 26% 17.0M 17s 69450K .......... .......... .......... .......... .......... 26% 11.5M 17s 69500K .......... .......... .......... .......... .......... 26% 18.0M 17s 69550K .......... .......... .......... .......... .......... 26% 14.7M 17s 69600K .......... .......... .......... .......... .......... 26% 11.9M 17s 69650K .......... .......... .......... .......... .......... 26% 14.3M 17s 69700K .......... .......... .......... .......... .......... 26% 13.0M 17s 69750K .......... .......... .......... .......... .......... 26% 15.9M 17s 69800K .......... .......... .......... .......... .......... 26% 12.1M 17s 69850K .......... .......... .......... .......... .......... 26% 17.9M 17s 69900K .......... .......... .......... .......... .......... 26% 26.2M 17s 69950K .......... .......... .......... .......... .......... 26% 33.9M 17s 70000K .......... .......... .......... .......... .......... 26% 31.5M 17s 70050K .......... .......... .......... .......... .......... 26% 29.1M 17s 70100K .......... .......... .......... .......... .......... 26% 27.9M 17s 70150K .......... .......... .......... .......... .......... 26% 30.4M 17s 70200K .......... .......... .......... .......... .......... 26% 19.8M 17s 70250K .......... .......... .......... .......... .......... 26% 17.5M 17s 70300K .......... .......... .......... .......... .......... 26% 13.5M 17s 70350K .......... .......... .......... .......... .......... 26% 12.6M 17s 70400K .......... .......... .......... .......... .......... 26% 13.4M 17s 70450K .......... .......... .......... .......... .......... 26% 9.80M 17s 70500K .......... .......... .......... .......... .......... 26% 14.1M 17s 70550K .......... .......... .......... .......... .......... 26% 16.5M 17s 70600K .......... .......... .......... .......... .......... 26% 12.1M 17s 70650K .......... .......... .......... .......... .......... 26% 16.6M 17s 70700K .......... .......... .......... .......... .......... 26% 12.2M 17s 70750K .......... .......... .......... .......... .......... 26% 5.11M 17s 70800K .......... .......... .......... .......... .......... 26% 9.18M 17s 70850K .......... .......... .......... .......... .......... 26% 10.0M 17s 70900K .......... .......... .......... .......... .......... 26% 10.3M 17s 70950K .......... .......... .......... .......... .......... 26% 6.62M 17s 71000K .......... .......... .......... .......... .......... 26% 10.5M 17s 71050K .......... .......... .......... .......... .......... 26% 12.6M 17s 71100K .......... .......... .......... .......... .......... 27% 9.23M 17s 71150K .......... .......... .......... .......... .......... 27% 13.0M 17s 71200K .......... .......... .......... .......... .......... 27% 8.28M 17s 71250K .......... .......... .......... .......... .......... 27% 7.55M 17s 71300K .......... .......... .......... .......... .......... 27% 8.52M 17s 71350K .......... .......... .......... .......... .......... 27% 8.73M 17s 71400K .......... .......... .......... .......... .......... 27% 7.54M 17s 71450K .......... .......... .......... .......... .......... 27% 9.07M 17s 71500K .......... .......... .......... .......... .......... 27% 19.0M 17s 71550K .......... .......... .......... .......... .......... 27% 18.6M 17s 71600K .......... .......... .......... .......... .......... 27% 12.3M 17s 71650K .......... .......... .......... .......... .......... 27% 18.9M 17s 71700K .......... .......... .......... .......... .......... 27% 13.4M 17s 71750K .......... .......... .......... .......... .......... 27% 16.7M 17s 71800K .......... .......... .......... .......... .......... 27% 16.9M 17s 71850K .......... .......... .......... .......... .......... 27% 12.3M 17s 71900K .......... .......... .......... .......... .......... 27% 13.0M 17s 71950K .......... .......... .......... .......... .......... 27% 9.74M 17s 72000K .......... .......... .......... .......... .......... 27% 16.9M 17s 72050K .......... .......... .......... .......... .......... 27% 27.5M 17s 72100K .......... .......... .......... .......... .......... 27% 17.4M 17s 72150K .......... .......... .......... .......... .......... 27% 10.6M 17s 72200K .......... .......... .......... .......... .......... 27% 11.8M 17s 72250K .......... .......... .......... .......... .......... 27% 14.3M 17s 72300K .......... .......... .......... .......... .......... 27% 8.98M 17s 72350K .......... .......... .......... .......... .......... 27% 14.0M 17s 72400K .......... .......... .......... .......... .......... 27% 8.57M 17s 72450K .......... .......... .......... .......... .......... 27% 11.7M 17s 72500K .......... .......... .......... .......... .......... 27% 7.76M 17s 72550K .......... .......... .......... .......... .......... 27% 8.85M 17s 72600K .......... .......... .......... .......... .......... 27% 7.50M 17s 72650K .......... .......... .......... .......... .......... 27% 5.23M 17s 72700K .......... .......... .......... .......... .......... 27% 7.23M 17s 72750K .......... .......... .......... .......... .......... 27% 10.4M 17s 72800K .......... .......... .......... .......... .......... 27% 6.90M 17s 72850K .......... .......... .......... .......... .......... 27% 7.76M 17s 72900K .......... .......... .......... .......... .......... 27% 8.59M 17s 72950K .......... .......... .......... .......... .......... 27% 3.38M 17s 73000K .......... .......... .......... .......... .......... 27% 1.58M 17s 73050K .......... .......... .......... .......... .......... 27% 2.90M 17s 73100K .......... .......... .......... .......... .......... 27% 1.17M 17s 73150K .......... .......... .......... .......... .......... 27% 4.06M 17s 73200K .......... .......... .......... .......... .......... 27% 5.04M 17s 73250K .......... .......... .......... .......... .......... 27% 5.46M 17s 73300K .......... .......... .......... .......... .......... 27% 5.20M 17s 73350K .......... .......... .......... .......... .......... 27% 6.30M 17s 73400K .......... .......... .......... .......... .......... 27% 8.40M 17s 73450K .......... .......... .......... .......... .......... 27% 11.3M 17s 73500K .......... .......... .......... .......... .......... 27% 8.03M 17s 73550K .......... .......... .......... .......... .......... 27% 7.38M 17s 73600K .......... .......... .......... .......... .......... 27% 7.80M 17s 73650K .......... .......... .......... .......... .......... 27% 9.46M 17s 73700K .......... .......... .......... .......... .......... 28% 9.06M 17s 73750K .......... .......... .......... .......... .......... 28% 9.17M 17s 73800K .......... .......... .......... .......... .......... 28% 8.33M 17s 73850K .......... .......... .......... .......... .......... 28% 7.51M 17s 73900K .......... .......... .......... .......... .......... 28% 8.94M 17s 73950K .......... .......... .......... .......... .......... 28% 6.13M 17s 74000K .......... .......... .......... .......... .......... 28% 8.01M 17s 74050K .......... .......... .......... .......... .......... 28% 8.26M 17s 74100K .......... .......... .......... .......... .......... 28% 7.10M 17s 74150K .......... .......... .......... .......... .......... 28% 7.85M 17s 74200K .......... .......... .......... .......... .......... 28% 8.27M 17s 74250K .......... .......... .......... .......... .......... 28% 8.77M 17s 74300K .......... .......... .......... .......... .......... 28% 8.50M 17s 74350K .......... .......... .......... .......... .......... 28% 9.63M 17s 74400K .......... .......... .......... .......... .......... 28% 7.81M 17s 74450K .......... .......... .......... .......... .......... 28% 8.91M 17s 74500K .......... .......... .......... .......... .......... 28% 10.5M 17s 74550K .......... .......... .......... .......... .......... 28% 14.9M 17s 74600K .......... .......... .......... .......... .......... 28% 11.0M 17s 74650K .......... .......... .......... .......... .......... 28% 14.4M 17s 74700K .......... .......... .......... .......... .......... 28% 10.0M 17s 74750K .......... .......... .......... .......... .......... 28% 24.1M 17s 74800K .......... .......... .......... .......... .......... 28% 10.1M 17s 74850K .......... .......... .......... .......... .......... 28% 10.8M 17s 74900K .......... .......... .......... .......... .......... 28% 14.9M 17s 74950K .......... .......... .......... .......... .......... 28% 12.7M 17s 75000K .......... .......... .......... .......... .......... 28% 12.7M 17s 75050K .......... .......... .......... .......... .......... 28% 14.1M 17s 75100K .......... .......... .......... .......... .......... 28% 8.67M 17s 75150K .......... .......... .......... .......... .......... 28% 12.3M 17s 75200K .......... .......... .......... .......... .......... 28% 11.1M 17s 75250K .......... .......... .......... .......... .......... 28% 12.8M 17s 75300K .......... .......... .......... .......... .......... 28% 22.1M 17s 75350K .......... .......... .......... .......... .......... 28% 13.3M 17s 75400K .......... .......... .......... .......... .......... 28% 12.0M 17s 75450K .......... .......... .......... .......... .......... 28% 12.3M 17s 75500K .......... .......... .......... .......... .......... 28% 11.1M 17s 75550K .......... .......... .......... .......... .......... 28% 11.1M 17s 75600K .......... .......... .......... .......... .......... 28% 9.94M 17s 75650K .......... .......... .......... .......... .......... 28% 13.9M 17s 75700K .......... .......... .......... .......... .......... 28% 9.99M 17s 75750K .......... .......... .......... .......... .......... 28% 9.24M 17s 75800K .......... .......... .......... .......... .......... 28% 19.7M 17s 75850K .......... .......... .......... .......... .......... 28% 10.5M 17s 75900K .......... .......... .......... .......... .......... 28% 11.4M 17s 75950K .......... .......... .......... .......... .......... 28% 8.03M 17s 76000K .......... .......... .......... .......... .......... 28% 5.81M 17s 76050K .......... .......... .......... .......... .......... 28% 6.86M 17s 76100K .......... .......... .......... .......... .......... 28% 8.56M 17s 76150K .......... .......... .......... .......... .......... 28% 6.39M 17s 76200K .......... .......... .......... .......... .......... 28% 5.70M 17s 76250K .......... .......... .......... .......... .......... 28% 5.73M 17s 76300K .......... .......... .......... .......... .......... 28% 5.35M 17s 76350K .......... .......... .......... .......... .......... 29% 4.85M 17s 76400K .......... .......... .......... .......... .......... 29% 6.46M 17s 76450K .......... .......... .......... .......... .......... 29% 6.99M 17s 76500K .......... .......... .......... .......... .......... 29% 6.26M 17s 76550K .......... .......... .......... .......... .......... 29% 6.44M 17s 76600K .......... .......... .......... .......... .......... 29% 7.53M 17s 76650K .......... .......... .......... .......... .......... 29% 8.17M 17s 76700K .......... .......... .......... .......... .......... 29% 6.60M 17s 76750K .......... .......... .......... .......... .......... 29% 7.20M 17s 76800K .......... .......... .......... .......... .......... 29% 7.45M 17s 76850K .......... .......... .......... .......... .......... 29% 5.77M 17s 76900K .......... .......... .......... .......... .......... 29% 9.43M 17s 76950K .......... .......... .......... .......... .......... 29% 6.05M 17s 77000K .......... .......... .......... .......... .......... 29% 26.0M 17s 77050K .......... .......... .......... .......... .......... 29% 8.86M 17s 77100K .......... .......... .......... .......... .......... 29% 8.39M 17s 77150K .......... .......... .......... .......... .......... 29% 8.78M 17s 77200K .......... .......... .......... .......... .......... 29% 6.46M 17s 77250K .......... .......... .......... .......... .......... 29% 10.4M 17s 77300K .......... .......... .......... .......... .......... 29% 10.5M 17s 77350K .......... .......... .......... .......... .......... 29% 7.81M 17s 77400K .......... .......... .......... .......... .......... 29% 8.92M 17s 77450K .......... .......... .......... .......... .......... 29% 7.80M 17s 77500K .......... .......... .......... .......... .......... 29% 8.89M 17s 77550K .......... .......... .......... .......... .......... 29% 11.3M 17s 77600K .......... .......... .......... .......... .......... 29% 6.76M 17s 77650K .......... .......... .......... .......... .......... 29% 9.14M 17s 77700K .......... .......... .......... .......... .......... 29% 6.97M 17s 77750K .......... .......... .......... .......... .......... 29% 11.1M 17s 77800K .......... .......... .......... .......... .......... 29% 8.98M 17s 77850K .......... .......... .......... .......... .......... 29% 9.49M 17s 77900K .......... .......... .......... .......... .......... 29% 8.58M 17s 77950K .......... .......... .......... .......... .......... 29% 6.72M 17s 78000K .......... .......... .......... .......... .......... 29% 10.7M 17s 78050K .......... .......... .......... .......... .......... 29% 6.47M 17s 78100K .......... .......... .......... .......... .......... 29% 12.0M 17s 78150K .......... .......... .......... .......... .......... 29% 14.4M 17s 78200K .......... .......... .......... .......... .......... 29% 9.63M 17s 78250K .......... .......... .......... .......... .......... 29% 18.2M 17s 78300K .......... .......... .......... .......... .......... 29% 12.0M 17s 78350K .......... .......... .......... .......... .......... 29% 12.5M 17s 78400K .......... .......... .......... .......... .......... 29% 16.6M 17s 78450K .......... .......... .......... .......... .......... 29% 11.8M 17s 78500K .......... .......... .......... .......... .......... 29% 20.2M 17s 78550K .......... .......... .......... .......... .......... 29% 20.8M 17s 78600K .......... .......... .......... .......... .......... 29% 11.6M 17s 78650K .......... .......... .......... .......... .......... 29% 20.8M 17s 78700K .......... .......... .......... .......... .......... 29% 18.5M 17s 78750K .......... .......... .......... .......... .......... 29% 18.2M 17s 78800K .......... .......... .......... .......... .......... 29% 18.0M 17s 78850K .......... .......... .......... .......... .......... 29% 9.18M 17s 78900K .......... .......... .......... .......... .......... 29% 10.7M 17s 78950K .......... .......... .......... .......... .......... 29% 14.1M 17s 79000K .......... .......... .......... .......... .......... 30% 13.9M 17s 79050K .......... .......... .......... .......... .......... 30% 9.99M 17s 79100K .......... .......... .......... .......... .......... 30% 8.80M 17s 79150K .......... .......... .......... .......... .......... 30% 14.4M 17s 79200K .......... .......... .......... .......... .......... 30% 9.84M 17s 79250K .......... .......... .......... .......... .......... 30% 18.2M 17s 79300K .......... .......... .......... .......... .......... 30% 13.2M 17s 79350K .......... .......... .......... .......... .......... 30% 5.51M 17s 79400K .......... .......... .......... .......... .......... 30% 152M 17s 79450K .......... .......... .......... .......... .......... 30% 8.47M 17s 79500K .......... .......... .......... .......... .......... 30% 14.3M 17s 79550K .......... .......... .......... .......... .......... 30% 18.5M 17s 79600K .......... .......... .......... .......... .......... 30% 11.0M 17s 79650K .......... .......... .......... .......... .......... 30% 9.35M 17s 79700K .......... .......... .......... .......... .......... 30% 11.5M 17s 79750K .......... .......... .......... .......... .......... 30% 8.87M 17s 79800K .......... .......... .......... .......... .......... 30% 9.25M 17s 79850K .......... .......... .......... .......... .......... 30% 7.48M 17s 79900K .......... .......... .......... .......... .......... 30% 7.29M 17s 79950K .......... .......... .......... .......... .......... 30% 6.32M 17s 80000K .......... .......... .......... .......... .......... 30% 5.83M 17s 80050K .......... .......... .......... .......... .......... 30% 7.94M 17s 80100K .......... .......... .......... .......... .......... 30% 6.03M 17s 80150K .......... .......... .......... .......... .......... 30% 12.6M 17s 80200K .......... .......... .......... .......... .......... 30% 10.7M 17s 80250K .......... .......... .......... .......... .......... 30% 7.40M 17s 80300K .......... .......... .......... .......... .......... 30% 8.15M 17s 80350K .......... .......... .......... .......... .......... 30% 8.45M 17s 80400K .......... .......... .......... .......... .......... 30% 8.47M 17s 80450K .......... .......... .......... .......... .......... 30% 6.83M 17s 80500K .......... .......... .......... .......... .......... 30% 7.58M 17s 80550K .......... .......... .......... .......... .......... 30% 5.11M 17s 80600K .......... .......... .......... .......... .......... 30% 13.3M 17s 80650K .......... .......... .......... .......... .......... 30% 6.85M 17s 80700K .......... .......... .......... .......... .......... 30% 7.91M 17s 80750K .......... .......... .......... .......... .......... 30% 8.04M 17s 80800K .......... .......... .......... .......... .......... 30% 8.30M 17s 80850K .......... .......... .......... .......... .......... 30% 6.65M 17s 80900K .......... .......... .......... .......... .......... 30% 8.90M 17s 80950K .......... .......... .......... .......... .......... 30% 6.24M 17s 81000K .......... .......... .......... .......... .......... 30% 6.64M 17s 81050K .......... .......... .......... .......... .......... 30% 5.63M 17s 81100K .......... .......... .......... .......... .......... 30% 5.10M 17s 81150K .......... .......... .......... .......... .......... 30% 7.40M 17s 81200K .......... .......... .......... .......... .......... 30% 7.64M 17s 81250K .......... .......... .......... .......... .......... 30% 7.12M 17s 81300K .......... .......... .......... .......... .......... 30% 8.12M 17s 81350K .......... .......... .......... .......... .......... 30% 4.82M 17s 81400K .......... .......... .......... .......... .......... 30% 7.05M 17s 81450K .......... .......... .......... .......... .......... 30% 10.4M 17s 81500K .......... .......... .......... .......... .......... 30% 8.45M 17s 81550K .......... .......... .......... .......... .......... 30% 8.80M 17s 81600K .......... .......... .......... .......... .......... 31% 11.5M 17s 81650K .......... .......... .......... .......... .......... 31% 10.1M 17s 81700K .......... .......... .......... .......... .......... 31% 10.7M 17s 81750K .......... .......... .......... .......... .......... 31% 12.9M 17s 81800K .......... .......... .......... .......... .......... 31% 16.4M 17s 81850K .......... .......... .......... .......... .......... 31% 30.5M 17s 81900K .......... .......... .......... .......... .......... 31% 31.2M 17s 81950K .......... .......... .......... .......... .......... 31% 26.7M 17s 82000K .......... .......... .......... .......... .......... 31% 30.8M 17s 82050K .......... .......... .......... .......... .......... 31% 28.2M 17s 82100K .......... .......... .......... .......... .......... 31% 26.3M 17s 82150K .......... .......... .......... .......... .......... 31% 25.1M 17s 82200K .......... .......... .......... .......... .......... 31% 31.3M 17s 82250K .......... .......... .......... .......... .......... 31% 24.3M 17s 82300K .......... .......... .......... .......... .......... 31% 20.3M 17s 82350K .......... .......... .......... .......... .......... 31% 18.1M 17s 82400K .......... .......... .......... .......... .......... 31% 12.4M 17s 82450K .......... .......... .......... .......... .......... 31% 14.6M 17s 82500K .......... .......... .......... .......... .......... 31% 16.2M 17s 82550K .......... .......... .......... .......... .......... 31% 15.5M 17s 82600K .......... .......... .......... .......... .......... 31% 15.1M 17s 82650K .......... .......... .......... .......... .......... 31% 10.5M 17s 82700K .......... .......... .......... .......... .......... 31% 24.3M 17s 82750K .......... .......... .......... .......... .......... 31% 11.9M 17s 82800K .......... .......... .......... .......... .......... 31% 9.84M 17s 82850K .......... .......... .......... .......... .......... 31% 8.80M 17s 82900K .......... .......... .......... .......... .......... 31% 8.44M 17s 82950K .......... .......... .......... .......... .......... 31% 8.72M 17s 83000K .......... .......... .......... .......... .......... 31% 8.59M 17s 83050K .......... .......... .......... .......... .......... 31% 8.41M 17s 83100K .......... .......... .......... .......... .......... 31% 9.03M 17s 83150K .......... .......... .......... .......... .......... 31% 8.96M 17s 83200K .......... .......... .......... .......... .......... 31% 7.49M 17s 83250K .......... .......... .......... .......... .......... 31% 7.51M 17s 83300K .......... .......... .......... .......... .......... 31% 6.48M 17s 83350K .......... .......... .......... .......... .......... 31% 8.96M 17s 83400K .......... .......... .......... .......... .......... 31% 15.4M 17s 83450K .......... .......... .......... .......... .......... 31% 6.93M 17s 83500K .......... .......... .......... .......... .......... 31% 8.02M 17s 83550K .......... .......... .......... .......... .......... 31% 10.1M 17s 83600K .......... .......... .......... .......... .......... 31% 6.40M 17s 83650K .......... .......... .......... .......... .......... 31% 10.3M 17s 83700K .......... .......... .......... .......... .......... 31% 9.41M 17s 83750K .......... .......... .......... .......... .......... 31% 5.84M 17s 83800K .......... .......... .......... .......... .......... 31% 26.0M 17s 83850K .......... .......... .......... .......... .......... 31% 8.58M 17s 83900K .......... .......... .......... .......... .......... 31% 11.7M 17s 83950K .......... .......... .......... .......... .......... 31% 9.57M 17s 84000K .......... .......... .......... .......... .......... 31% 17.5M 16s 84050K .......... .......... .......... .......... .......... 31% 11.8M 16s 84100K .......... .......... .......... .......... .......... 31% 5.91M 16s 84150K .......... .......... .......... .......... .......... 31% 11.7M 16s 84200K .......... .......... .......... .......... .......... 31% 8.42M 16s 84250K .......... .......... .......... .......... .......... 32% 10.7M 16s 84300K .......... .......... .......... .......... .......... 32% 12.7M 16s 84350K .......... .......... .......... .......... .......... 32% 8.10M 16s 84400K .......... .......... .......... .......... .......... 32% 12.6M 16s 84450K .......... .......... .......... .......... .......... 32% 10.3M 16s 84500K .......... .......... .......... .......... .......... 32% 25.9M 16s 84550K .......... .......... .......... .......... .......... 32% 29.2M 16s 84600K .......... .......... .......... .......... .......... 32% 18.5M 16s 84650K .......... .......... .......... .......... .......... 32% 21.5M 16s 84700K .......... .......... .......... .......... .......... 32% 14.8M 16s 84750K .......... .......... .......... .......... .......... 32% 18.7M 16s 84800K .......... .......... .......... .......... .......... 32% 15.4M 16s 84850K .......... .......... .......... .......... .......... 32% 12.9M 16s 84900K .......... .......... .......... .......... .......... 32% 14.8M 16s 84950K .......... .......... .......... .......... .......... 32% 14.2M 16s 85000K .......... .......... .......... .......... .......... 32% 5.43M 16s 85050K .......... .......... .......... .......... .......... 32% 79.1M 16s 85100K .......... .......... .......... .......... .......... 32% 11.0M 16s 85150K .......... .......... .......... .......... .......... 32% 8.62M 16s 85200K .......... .......... .......... .......... .......... 32% 8.66M 16s 85250K .......... .......... .......... .......... .......... 32% 5.81M 16s 85300K .......... .......... .......... .......... .......... 32% 8.07M 16s 85350K .......... .......... .......... .......... .......... 32% 7.03M 16s 85400K .......... .......... .......... .......... .......... 32% 5.68M 16s 85450K .......... .......... .......... .......... .......... 32% 7.06M 16s 85500K .......... .......... .......... .......... .......... 32% 6.22M 16s 85550K .......... .......... .......... .......... .......... 32% 6.01M 16s 85600K .......... .......... .......... .......... .......... 32% 3.40M 16s 85650K .......... .......... .......... .......... .......... 32% 7.73M 16s 85700K .......... .......... .......... .......... .......... 32% 8.10M 16s 85750K .......... .......... .......... .......... .......... 32% 10.7M 16s 85800K .......... .......... .......... .......... .......... 32% 7.54M 16s 85850K .......... .......... .......... .......... .......... 32% 4.65M 16s 85900K .......... .......... .......... .......... .......... 32% 5.79M 16s 85950K .......... .......... .......... .......... .......... 32% 3.43M 16s 86000K .......... .......... .......... .......... .......... 32% 4.32M 16s 86050K .......... .......... .......... .......... .......... 32% 3.58M 16s 86100K .......... .......... .......... .......... .......... 32% 3.32M 16s 86150K .......... .......... .......... .......... .......... 32% 12.0M 16s 86200K .......... .......... .......... .......... .......... 32% 11.3M 16s 86250K .......... .......... .......... .......... .......... 32% 8.14M 16s 86300K .......... .......... .......... .......... .......... 32% 6.97M 16s 86350K .......... .......... .......... .......... .......... 32% 9.01M 16s 86400K .......... .......... .......... .......... .......... 32% 7.88M 16s 86450K .......... .......... .......... .......... .......... 32% 8.43M 16s 86500K .......... .......... .......... .......... .......... 32% 8.57M 16s 86550K .......... .......... .......... .......... .......... 32% 6.03M 16s 86600K .......... .......... .......... .......... .......... 32% 5.59M 16s 86650K .......... .......... .......... .......... .......... 32% 6.28M 16s 86700K .......... .......... .......... .......... .......... 32% 7.46M 16s 86750K .......... .......... .......... .......... .......... 32% 12.4M 16s 86800K .......... .......... .......... .......... .......... 32% 13.3M 16s 86850K .......... .......... .......... .......... .......... 32% 10.0M 16s 86900K .......... .......... .......... .......... .......... 33% 11.7M 16s 86950K .......... .......... .......... .......... .......... 33% 11.3M 16s 87000K .......... .......... .......... .......... .......... 33% 12.6M 16s 87050K .......... .......... .......... .......... .......... 33% 10.6M 16s 87100K .......... .......... .......... .......... .......... 33% 11.9M 16s 87150K .......... .......... .......... .......... .......... 33% 12.9M 16s 87200K .......... .......... .......... .......... .......... 33% 17.2M 16s 87250K .......... .......... .......... .......... .......... 33% 19.0M 16s 87300K .......... .......... .......... .......... .......... 33% 14.8M 16s 87350K .......... .......... .......... .......... .......... 33% 8.35M 16s 87400K .......... .......... .......... .......... .......... 33% 8.58M 16s 87450K .......... .......... .......... .......... .......... 33% 7.83M 16s 87500K .......... .......... .......... .......... .......... 33% 8.70M 16s 87550K .......... .......... .......... .......... .......... 33% 9.12M 16s 87600K .......... .......... .......... .......... .......... 33% 9.92M 16s 87650K .......... .......... .......... .......... .......... 33% 11.6M 16s 87700K .......... .......... .......... .......... .......... 33% 7.20M 16s 87750K .......... .......... .......... .......... .......... 33% 13.7M 16s 87800K .......... .......... .......... .......... .......... 33% 9.32M 16s 87850K .......... .......... .......... .......... .......... 33% 11.2M 16s 87900K .......... .......... .......... .......... .......... 33% 13.4M 16s 87950K .......... .......... .......... .......... .......... 33% 10.4M 16s 88000K .......... .......... .......... .......... .......... 33% 9.13M 16s 88050K .......... .......... .......... .......... .......... 33% 9.46M 16s 88100K .......... .......... .......... .......... .......... 33% 10.7M 16s 88150K .......... .......... .......... .......... .......... 33% 8.42M 16s 88200K .......... .......... .......... .......... .......... 33% 11.4M 16s 88250K .......... .......... .......... .......... .......... 33% 8.20M 16s 88300K .......... .......... .......... .......... .......... 33% 7.53M 16s 88350K .......... .......... .......... .......... .......... 33% 7.85M 16s 88400K .......... .......... .......... .......... .......... 33% 9.20M 16s 88450K .......... .......... .......... .......... .......... 33% 687K 16s 88500K .......... .......... .......... .......... .......... 33% 3.38M 16s 88550K .......... .......... .......... .......... .......... 33% 8.60M 16s 88600K .......... .......... .......... .......... .......... 33% 24.2M 16s 88650K .......... .......... .......... .......... .......... 33% 11.2M 16s 88700K .......... .......... .......... .......... .......... 33% 12.6M 16s 88750K .......... .......... .......... .......... .......... 33% 7.58M 16s 88800K .......... .......... .......... .......... .......... 33% 8.69M 16s 88850K .......... .......... .......... .......... .......... 33% 6.22M 16s 88900K .......... .......... .......... .......... .......... 33% 7.81M 16s 88950K .......... .......... .......... .......... .......... 33% 11.4M 16s 89000K .......... .......... .......... .......... .......... 33% 15.3M 16s 89050K .......... .......... .......... .......... .......... 33% 12.6M 16s 89100K .......... .......... .......... .......... .......... 33% 10.2M 16s 89150K .......... .......... .......... .......... .......... 33% 14.3M 16s 89200K .......... .......... .......... .......... .......... 33% 11.8M 16s 89250K .......... .......... .......... .......... .......... 33% 8.75M 16s 89300K .......... .......... .......... .......... .......... 33% 4.86M 16s 89350K .......... .......... .......... .......... .......... 33% 5.71M 16s 89400K .......... .......... .......... .......... .......... 33% 8.54M 16s 89450K .......... .......... .......... .......... .......... 33% 9.69M 16s 89500K .......... .......... .......... .......... .......... 34% 1.03M 16s 89550K .......... .......... .......... .......... .......... 34% 10.5M 16s 89600K .......... .......... .......... .......... .......... 34% 8.37M 16s 89650K .......... .......... .......... .......... .......... 34% 8.14M 16s 89700K .......... .......... .......... .......... .......... 34% 5.07M 16s 89750K .......... .......... .......... .......... .......... 34% 4.25M 16s 89800K .......... .......... .......... .......... .......... 34% 4.61M 16s 89850K .......... .......... .......... .......... .......... 34% 3.33M 16s 89900K .......... .......... .......... .......... .......... 34% 13.1M 16s 89950K .......... .......... .......... .......... .......... 34% 7.83M 16s 90000K .......... .......... .......... .......... .......... 34% 6.19M 16s 90050K .......... .......... .......... .......... .......... 34% 4.07M 16s 90100K .......... .......... .......... .......... .......... 34% 8.44M 16s 90150K .......... .......... .......... .......... .......... 34% 7.03M 16s 90200K .......... .......... .......... .......... .......... 34% 6.10M 16s 90250K .......... .......... .......... .......... .......... 34% 5.39M 16s 90300K .......... .......... .......... .......... .......... 34% 7.40M 16s 90350K .......... .......... .......... .......... .......... 34% 10.4M 16s 90400K .......... .......... .......... .......... .......... 34% 9.81M 16s 90450K .......... .......... .......... .......... .......... 34% 8.06M 16s 90500K .......... .......... .......... .......... .......... 34% 5.10M 16s 90550K .......... .......... .......... .......... .......... 34% 27.4M 16s 90600K .......... .......... .......... .......... .......... 34% 8.74M 16s 90650K .......... .......... .......... .......... .......... 34% 11.7M 16s 90700K .......... .......... .......... .......... .......... 34% 8.88M 16s 90750K .......... .......... .......... .......... .......... 34% 15.9M 16s 90800K .......... .......... .......... .......... .......... 34% 13.8M 16s 90850K .......... .......... .......... .......... .......... 34% 10.0M 16s 90900K .......... .......... .......... .......... .......... 34% 10.2M 16s 90950K .......... .......... .......... .......... .......... 34% 8.77M 16s 91000K .......... .......... .......... .......... .......... 34% 10.1M 16s 91050K .......... .......... .......... .......... .......... 34% 16.9M 16s 91100K .......... .......... .......... .......... .......... 34% 8.32M 16s 91150K .......... .......... .......... .......... .......... 34% 8.20M 16s 91200K .......... .......... .......... .......... .......... 34% 19.0M 16s 91250K .......... .......... .......... .......... .......... 34% 20.2M 16s 91300K .......... .......... .......... .......... .......... 34% 19.6M 16s 91350K .......... .......... .......... .......... .......... 34% 17.0M 16s 91400K .......... .......... .......... .......... .......... 34% 21.5M 16s 91450K .......... .......... .......... .......... .......... 34% 17.5M 16s 91500K .......... .......... .......... .......... .......... 34% 13.2M 16s 91550K .......... .......... .......... .......... .......... 34% 26.5M 16s 91600K .......... .......... .......... .......... .......... 34% 13.3M 16s 91650K .......... .......... .......... .......... .......... 34% 13.3M 16s 91700K .......... .......... .......... .......... .......... 34% 14.6M 16s 91750K .......... .......... .......... .......... .......... 34% 14.0M 16s 91800K .......... .......... .......... .......... .......... 34% 35.8M 16s 91850K .......... .......... .......... .......... .......... 34% 14.6M 16s 91900K .......... .......... .......... .......... .......... 34% 13.9M 16s 91950K .......... .......... .......... .......... .......... 34% 9.69M 16s 92000K .......... .......... .......... .......... .......... 34% 15.7M 16s 92050K .......... .......... .......... .......... .......... 34% 8.98M 16s 92100K .......... .......... .......... .......... .......... 34% 9.07M 16s 92150K .......... .......... .......... .......... .......... 35% 7.32M 16s 92200K .......... .......... .......... .......... .......... 35% 10.2M 16s 92250K .......... .......... .......... .......... .......... 35% 16.1M 16s 92300K .......... .......... .......... .......... .......... 35% 15.5M 16s 92350K .......... .......... .......... .......... .......... 35% 12.3M 16s 92400K .......... .......... .......... .......... .......... 35% 19.9M 16s 92450K .......... .......... .......... .......... .......... 35% 14.2M 16s 92500K .......... .......... .......... .......... .......... 35% 15.2M 16s 92550K .......... .......... .......... .......... .......... 35% 12.3M 16s 92600K .......... .......... .......... .......... .......... 35% 7.30M 16s 92650K .......... .......... .......... .......... .......... 35% 3.56M 16s 92700K .......... .......... .......... .......... .......... 35% 4.55M 16s 92750K .......... .......... .......... .......... .......... 35% 1.16M 16s 92800K .......... .......... .......... .......... .......... 35% 2.05M 16s 92850K .......... .......... .......... .......... .......... 35% 1.75M 16s 92900K .......... .......... .......... .......... .......... 35% 1.90M 16s 92950K .......... .......... .......... .......... .......... 35% 1.80M 16s 93000K .......... .......... .......... .......... .......... 35% 5.36M 16s 93050K .......... .......... .......... .......... .......... 35% 5.65M 16s 93100K .......... .......... .......... .......... .......... 35% 1.23M 16s 93150K .......... .......... .......... .......... .......... 35% 209K 17s 93200K .......... .......... .......... .......... .......... 35% 7.82M 17s 93250K .......... .......... .......... .......... .......... 35% 13.8M 17s 93300K .......... .......... .......... .......... .......... 35% 22.3M 17s 93350K .......... .......... .......... .......... .......... 35% 16.9M 17s 93400K .......... .......... .......... .......... .......... 35% 20.2M 17s 93450K .......... .......... .......... .......... .......... 35% 12.1M 17s 93500K .......... .......... .......... .......... .......... 35% 22.0M 17s 93550K .......... .......... .......... .......... .......... 35% 11.4M 17s 93600K .......... .......... .......... .......... .......... 35% 9.84M 17s 93650K .......... .......... .......... .......... .......... 35% 14.0M 17s 93700K .......... .......... .......... .......... .......... 35% 10.6M 17s 93750K .......... .......... .......... .......... .......... 35% 17.0M 17s 93800K .......... .......... .......... .......... .......... 35% 11.0M 17s 93850K .......... .......... .......... .......... .......... 35% 13.0M 17s 93900K .......... .......... .......... .......... .......... 35% 13.6M 17s 93950K .......... .......... .......... .......... .......... 35% 13.5M 17s 94000K .......... .......... .......... .......... .......... 35% 14.1M 17s 94050K .......... .......... .......... .......... .......... 35% 12.7M 17s 94100K .......... .......... .......... .......... .......... 35% 14.6M 17s 94150K .......... .......... .......... .......... .......... 35% 11.2M 17s 94200K .......... .......... .......... .......... .......... 35% 6.77M 17s 94250K .......... .......... .......... .......... .......... 35% 36.1M 17s 94300K .......... .......... .......... .......... .......... 35% 11.2M 17s 94350K .......... .......... .......... .......... .......... 35% 24.2M 17s 94400K .......... .......... .......... .......... .......... 35% 10.5M 17s 94450K .......... .......... .......... .......... .......... 35% 20.3M 17s 94500K .......... .......... .......... .......... .......... 35% 24.5M 17s 94550K .......... .......... .......... .......... .......... 35% 20.2M 17s 94600K .......... .......... .......... .......... .......... 35% 12.5M 17s 94650K .......... .......... .......... .......... .......... 35% 19.2M 17s 94700K .......... .......... .......... .......... .......... 35% 18.3M 17s 94750K .......... .......... .......... .......... .......... 35% 10.8M 17s 94800K .......... .......... .......... .......... .......... 36% 18.3M 17s 94850K .......... .......... .......... .......... .......... 36% 19.4M 17s 94900K .......... .......... .......... .......... .......... 36% 18.4M 17s 94950K .......... .......... .......... .......... .......... 36% 13.7M 17s 95000K .......... .......... .......... .......... .......... 36% 56.9M 17s 95050K .......... .......... .......... .......... .......... 36% 16.7M 17s 95100K .......... .......... .......... .......... .......... 36% 12.6M 17s 95150K .......... .......... .......... .......... .......... 36% 15.8M 17s 95200K .......... .......... .......... .......... .......... 36% 14.2M 17s 95250K .......... .......... .......... .......... .......... 36% 19.4M 17s 95300K .......... .......... .......... .......... .......... 36% 21.0M 17s 95350K .......... .......... .......... .......... .......... 36% 13.6M 17s 95400K .......... .......... .......... .......... .......... 36% 15.6M 17s 95450K .......... .......... .......... .......... .......... 36% 12.8M 17s 95500K .......... .......... .......... .......... .......... 36% 13.0M 17s 95550K .......... .......... .......... .......... .......... 36% 13.1M 17s 95600K .......... .......... .......... .......... .......... 36% 10.2M 17s 95650K .......... .......... .......... .......... .......... 36% 12.3M 17s 95700K .......... .......... .......... .......... .......... 36% 13.2M 16s 95750K .......... .......... .......... .......... .......... 36% 17.2M 16s 95800K .......... .......... .......... .......... .......... 36% 15.8M 16s 95850K .......... .......... .......... .......... .......... 36% 14.6M 16s 95900K .......... .......... .......... .......... .......... 36% 16.7M 16s 95950K .......... .......... .......... .......... .......... 36% 20.5M 16s 96000K .......... .......... .......... .......... .......... 36% 20.7M 16s 96050K .......... .......... .......... .......... .......... 36% 20.5M 16s 96100K .......... .......... .......... .......... .......... 36% 21.5M 16s 96150K .......... .......... .......... .......... .......... 36% 20.4M 16s 96200K .......... .......... .......... .......... .......... 36% 19.4M 16s 96250K .......... .......... .......... .......... .......... 36% 22.5M 16s 96300K .......... .......... .......... .......... .......... 36% 20.0M 16s 96350K .......... .......... .......... .......... .......... 36% 23.3M 16s 96400K .......... .......... .......... .......... .......... 36% 23.0M 16s 96450K .......... .......... .......... .......... .......... 36% 18.4M 16s 96500K .......... .......... .......... .......... .......... 36% 35.6M 16s 96550K .......... .......... .......... .......... .......... 36% 20.7M 16s 96600K .......... .......... .......... .......... .......... 36% 18.8M 16s 96650K .......... .......... .......... .......... .......... 36% 16.7M 16s 96700K .......... .......... .......... .......... .......... 36% 11.7M 16s 96750K .......... .......... .......... .......... .......... 36% 24.9M 16s 96800K .......... .......... .......... .......... .......... 36% 26.6M 16s 96850K .......... .......... .......... .......... .......... 36% 7.29M 16s 96900K .......... .......... .......... .......... .......... 36% 138M 16s 96950K .......... .......... .......... .......... .......... 36% 6.66M 16s 97000K .......... .......... .......... .......... .......... 36% 7.69M 16s 97050K .......... .......... .......... .......... .......... 36% 9.86M 16s 97100K .......... .......... .......... .......... .......... 36% 7.48M 16s 97150K .......... .......... .......... .......... .......... 36% 11.1M 16s 97200K .......... .......... .......... .......... .......... 36% 8.36M 16s 97250K .......... .......... .......... .......... .......... 36% 10.3M 16s 97300K .......... .......... .......... .......... .......... 36% 10.7M 16s 97350K .......... .......... .......... .......... .......... 36% 7.67M 16s 97400K .......... .......... .......... .......... .......... 37% 18.5M 16s 97450K .......... .......... .......... .......... .......... 37% 15.8M 16s 97500K .......... .......... .......... .......... .......... 37% 16.4M 16s 97550K .......... .......... .......... .......... .......... 37% 16.4M 16s 97600K .......... .......... .......... .......... .......... 37% 9.69M 16s 97650K .......... .......... .......... .......... .......... 37% 13.1M 16s 97700K .......... .......... .......... .......... .......... 37% 14.8M 16s 97750K .......... .......... .......... .......... .......... 37% 9.71M 16s 97800K .......... .......... .......... .......... .......... 37% 24.7M 16s 97850K .......... .......... .......... .......... .......... 37% 13.3M 16s 97900K .......... .......... .......... .......... .......... 37% 17.4M 16s 97950K .......... .......... .......... .......... .......... 37% 13.4M 16s 98000K .......... .......... .......... .......... .......... 37% 28.3M 16s 98050K .......... .......... .......... .......... .......... 37% 11.4M 16s 98100K .......... .......... .......... .......... .......... 37% 16.9M 16s 98150K .......... .......... .......... .......... .......... 37% 16.8M 16s 98200K .......... .......... .......... .......... .......... 37% 11.1M 16s 98250K .......... .......... .......... .......... .......... 37% 14.3M 16s 98300K .......... .......... .......... .......... .......... 37% 13.9M 16s 98350K .......... .......... .......... .......... .......... 37% 9.82M 16s 98400K .......... .......... .......... .......... .......... 37% 13.5M 16s 98450K .......... .......... .......... .......... .......... 37% 11.9M 16s 98500K .......... .......... .......... .......... .......... 37% 6.91M 16s 98550K .......... .......... .......... .......... .......... 37% 10.2M 16s 98600K .......... .......... .......... .......... .......... 37% 7.85M 16s 98650K .......... .......... .......... .......... .......... 37% 14.3M 16s 98700K .......... .......... .......... .......... .......... 37% 10.4M 16s 98750K .......... .......... .......... .......... .......... 37% 14.7M 16s 98800K .......... .......... .......... .......... .......... 37% 19.4M 16s 98850K .......... .......... .......... .......... .......... 37% 8.22M 16s 98900K .......... .......... .......... .......... .......... 37% 9.61M 16s 98950K .......... .......... .......... .......... .......... 37% 9.96M 16s 99000K .......... .......... .......... .......... .......... 37% 11.9M 16s 99050K .......... .......... .......... .......... .......... 37% 9.55M 16s 99100K .......... .......... .......... .......... .......... 37% 10.2M 16s 99150K .......... .......... .......... .......... .......... 37% 9.68M 16s 99200K .......... .......... .......... .......... .......... 37% 7.45M 16s 99250K .......... .......... .......... .......... .......... 37% 8.88M 16s 99300K .......... .......... .......... .......... .......... 37% 13.8M 16s 99350K .......... .......... .......... .......... .......... 37% 9.09M 16s 99400K .......... .......... .......... .......... .......... 37% 9.48M 16s 99450K .......... .......... .......... .......... .......... 37% 12.6M 16s 99500K .......... .......... .......... .......... .......... 37% 9.32M 16s 99550K .......... .......... .......... .......... .......... 37% 12.4M 16s 99600K .......... .......... .......... .......... .......... 37% 9.96M 16s 99650K .......... .......... .......... .......... .......... 37% 12.6M 16s 99700K .......... .......... .......... .......... .......... 37% 7.31M 16s 99750K .......... .......... .......... .......... .......... 37% 10.5M 16s 99800K .......... .......... .......... .......... .......... 37% 10.1M 16s 99850K .......... .......... .......... .......... .......... 37% 8.11M 16s 99900K .......... .......... .......... .......... .......... 37% 13.7M 16s 99950K .......... .......... .......... .......... .......... 37% 10.3M 16s 100000K .......... .......... .......... .......... .......... 37% 11.7M 16s 100050K .......... .......... .......... .......... .......... 38% 11.3M 16s 100100K .......... .......... .......... .......... .......... 38% 11.3M 16s 100150K .......... .......... .......... .......... .......... 38% 14.8M 16s 100200K .......... .......... .......... .......... .......... 38% 7.92M 16s 100250K .......... .......... .......... .......... .......... 38% 8.89M 16s 100300K .......... .......... .......... .......... .......... 38% 9.34M 16s 100350K .......... .......... .......... .......... .......... 38% 13.6M 16s 100400K .......... .......... .......... .......... .......... 38% 26.2M 16s 100450K .......... .......... .......... .......... .......... 38% 10.5M 16s 100500K .......... .......... .......... .......... .......... 38% 9.92M 16s 100550K .......... .......... .......... .......... .......... 38% 12.7M 16s 100600K .......... .......... .......... .......... .......... 38% 9.84M 16s 100650K .......... .......... .......... .......... .......... 38% 8.35M 16s 100700K .......... .......... .......... .......... .......... 38% 7.08M 16s 100750K .......... .......... .......... .......... .......... 38% 9.73M 16s 100800K .......... .......... .......... .......... .......... 38% 5.83M 16s 100850K .......... .......... .......... .......... .......... 38% 3.76M 16s 100900K .......... .......... .......... .......... .......... 38% 5.00M 16s 100950K .......... .......... .......... .......... .......... 38% 5.81M 16s 101000K .......... .......... .......... .......... .......... 38% 8.77M 16s 101050K .......... .......... .......... .......... .......... 38% 10.9M 16s 101100K .......... .......... .......... .......... .......... 38% 6.28M 16s 101150K .......... .......... .......... .......... .......... 38% 6.77M 16s 101200K .......... .......... .......... .......... .......... 38% 6.47M 16s 101250K .......... .......... .......... .......... .......... 38% 10.6M 16s 101300K .......... .......... .......... .......... .......... 38% 12.6M 16s 101350K .......... .......... .......... .......... .......... 38% 8.97M 16s 101400K .......... .......... .......... .......... .......... 38% 19.0M 16s 101450K .......... .......... .......... .......... .......... 38% 15.5M 16s 101500K .......... .......... .......... .......... .......... 38% 16.6M 16s 101550K .......... .......... .......... .......... .......... 38% 11.4M 16s 101600K .......... .......... .......... .......... .......... 38% 12.8M 16s 101650K .......... .......... .......... .......... .......... 38% 16.0M 16s 101700K .......... .......... .......... .......... .......... 38% 9.10M 16s 101750K .......... .......... .......... .......... .......... 38% 15.5M 16s 101800K .......... .......... .......... .......... .......... 38% 9.51M 16s 101850K .......... .......... .......... .......... .......... 38% 13.4M 16s 101900K .......... .......... .......... .......... .......... 38% 19.2M 16s 101950K .......... .......... .......... .......... .......... 38% 18.3M 16s 102000K .......... .......... .......... .......... .......... 38% 11.8M 16s 102050K .......... .......... .......... .......... .......... 38% 13.1M 16s 102100K .......... .......... .......... .......... .......... 38% 9.53M 16s 102150K .......... .......... .......... .......... .......... 38% 17.8M 16s 102200K .......... .......... .......... .......... .......... 38% 10.4M 16s 102250K .......... .......... .......... .......... .......... 38% 15.6M 16s 102300K .......... .......... .......... .......... .......... 38% 11.0M 16s 102350K .......... .......... .......... .......... .......... 38% 9.84M 16s 102400K .......... .......... .......... .......... .......... 38% 11.5M 16s 102450K .......... .......... .......... .......... .......... 38% 5.47M 16s 102500K .......... .......... .......... .......... .......... 38% 6.24M 16s 102550K .......... .......... .......... .......... .......... 38% 5.90M 16s 102600K .......... .......... .......... .......... .......... 38% 5.59M 16s 102650K .......... .......... .......... .......... .......... 38% 7.54M 16s 102700K .......... .......... .......... .......... .......... 39% 4.91M 16s 102750K .......... .......... .......... .......... .......... 39% 6.53M 16s 102800K .......... .......... .......... .......... .......... 39% 13.9M 16s 102850K .......... .......... .......... .......... .......... 39% 21.5M 16s 102900K .......... .......... .......... .......... .......... 39% 30.8M 16s 102950K .......... .......... .......... .......... .......... 39% 23.9M 16s 103000K .......... .......... .......... .......... .......... 39% 26.9M 16s 103050K .......... .......... .......... .......... .......... 39% 20.8M 16s 103100K .......... .......... .......... .......... .......... 39% 23.4M 16s 103150K .......... .......... .......... .......... .......... 39% 52.5M 16s 103200K .......... .......... .......... .......... .......... 39% 20.0M 16s 103250K .......... .......... .......... .......... .......... 39% 31.2M 16s 103300K .......... .......... .......... .......... .......... 39% 17.5M 16s 103350K .......... .......... .......... .......... .......... 39% 25.9M 16s 103400K .......... .......... .......... .......... .......... 39% 13.6M 16s 103450K .......... .......... .......... .......... .......... 39% 35.0M 16s 103500K .......... .......... .......... .......... .......... 39% 36.8M 16s 103550K .......... .......... .......... .......... .......... 39% 19.5M 16s 103600K .......... .......... .......... .......... .......... 39% 10.8M 16s 103650K .......... .......... .......... .......... .......... 39% 17.9M 16s 103700K .......... .......... .......... .......... .......... 39% 11.4M 16s 103750K .......... .......... .......... .......... .......... 39% 18.3M 16s 103800K .......... .......... .......... .......... .......... 39% 8.77M 16s 103850K .......... .......... .......... .......... .......... 39% 10.6M 16s 103900K .......... .......... .......... .......... .......... 39% 15.8M 16s 103950K .......... .......... .......... .......... .......... 39% 9.95M 16s 104000K .......... .......... .......... .......... .......... 39% 8.77M 16s 104050K .......... .......... .......... .......... .......... 39% 9.97M 16s 104100K .......... .......... .......... .......... .......... 39% 6.21M 16s 104150K .......... .......... .......... .......... .......... 39% 6.23M 16s 104200K .......... .......... .......... .......... .......... 39% 7.13M 16s 104250K .......... .......... .......... .......... .......... 39% 18.0M 15s 104300K .......... .......... .......... .......... .......... 39% 8.14M 15s 104350K .......... .......... .......... .......... .......... 39% 10.7M 15s 104400K .......... .......... .......... .......... .......... 39% 14.5M 15s 104450K .......... .......... .......... .......... .......... 39% 7.16M 15s 104500K .......... .......... .......... .......... .......... 39% 9.21M 15s 104550K .......... .......... .......... .......... .......... 39% 6.71M 15s 104600K .......... .......... .......... .......... .......... 39% 13.8M 15s 104650K .......... .......... .......... .......... .......... 39% 9.69M 15s 104700K .......... .......... .......... .......... .......... 39% 8.40M 15s 104750K .......... .......... .......... .......... .......... 39% 7.32M 15s 104800K .......... .......... .......... .......... .......... 39% 5.11M 15s 104850K .......... .......... .......... .......... .......... 39% 6.93M 15s 104900K .......... .......... .......... .......... .......... 39% 11.9M 15s 104950K .......... .......... .......... .......... .......... 39% 9.42M 15s 105000K .......... .......... .......... .......... .......... 39% 12.8M 15s 105050K .......... .......... .......... .......... .......... 39% 9.81M 15s 105100K .......... .......... .......... .......... .......... 39% 9.88M 15s 105150K .......... .......... .......... .......... .......... 39% 7.48M 15s 105200K .......... .......... .......... .......... .......... 39% 24.5M 15s 105250K .......... .......... .......... .......... .......... 39% 11.8M 15s 105300K .......... .......... .......... .......... .......... 40% 8.72M 15s 105350K .......... .......... .......... .......... .......... 40% 8.37M 15s 105400K .......... .......... .......... .......... .......... 40% 8.72M 15s 105450K .......... .......... .......... .......... .......... 40% 7.47M 15s 105500K .......... .......... .......... .......... .......... 40% 13.7M 15s 105550K .......... .......... .......... .......... .......... 40% 9.02M 15s 105600K .......... .......... .......... .......... .......... 40% 8.67M 15s 105650K .......... .......... .......... .......... .......... 40% 14.4M 15s 105700K .......... .......... .......... .......... .......... 40% 12.9M 15s 105750K .......... .......... .......... .......... .......... 40% 11.2M 15s 105800K .......... .......... .......... .......... .......... 40% 11.0M 15s 105850K .......... .......... .......... .......... .......... 40% 9.43M 15s 105900K .......... .......... .......... .......... .......... 40% 10.2M 15s 105950K .......... .......... .......... .......... .......... 40% 9.67M 15s 106000K .......... .......... .......... .......... .......... 40% 8.91M 15s 106050K .......... .......... .......... .......... .......... 40% 8.43M 15s 106100K .......... .......... .......... .......... .......... 40% 10.9M 15s 106150K .......... .......... .......... .......... .......... 40% 13.0M 15s 106200K .......... .......... .......... .......... .......... 40% 15.4M 15s 106250K .......... .......... .......... .......... .......... 40% 27.6M 15s 106300K .......... .......... .......... .......... .......... 40% 13.1M 15s 106350K .......... .......... .......... .......... .......... 40% 9.58M 15s 106400K .......... .......... .......... .......... .......... 40% 8.99M 15s 106450K .......... .......... .......... .......... .......... 40% 15.9M 15s 106500K .......... .......... .......... .......... .......... 40% 9.48M 15s 106550K .......... .......... .......... .......... .......... 40% 12.0M 15s 106600K .......... .......... .......... .......... .......... 40% 12.5M 15s 106650K .......... .......... .......... .......... .......... 40% 17.5M 15s 106700K .......... .......... .......... .......... .......... 40% 15.2M 15s 106750K .......... .......... .......... .......... .......... 40% 15.9M 15s 106800K .......... .......... .......... .......... .......... 40% 16.6M 15s 106850K .......... .......... .......... .......... .......... 40% 13.1M 15s 106900K .......... .......... .......... .......... .......... 40% 14.7M 15s 106950K .......... .......... .......... .......... .......... 40% 8.25M 15s 107000K .......... .......... .......... .......... .......... 40% 6.85M 15s 107050K .......... .......... .......... .......... .......... 40% 8.86M 15s 107100K .......... .......... .......... .......... .......... 40% 7.87M 15s 107150K .......... .......... .......... .......... .......... 40% 7.35M 15s 107200K .......... .......... .......... .......... .......... 40% 10.7M 15s 107250K .......... .......... .......... .......... .......... 40% 13.9M 15s 107300K .......... .......... .......... .......... .......... 40% 11.6M 15s 107350K .......... .......... .......... .......... .......... 40% 10.4M 15s 107400K .......... .......... .......... .......... .......... 40% 7.94M 15s 107450K .......... .......... .......... .......... .......... 40% 7.24M 15s 107500K .......... .......... .......... .......... .......... 40% 7.43M 15s 107550K .......... .......... .......... .......... .......... 40% 10.1M 15s 107600K .......... .......... .......... .......... .......... 40% 8.27M 15s 107650K .......... .......... .......... .......... .......... 40% 11.2M 15s 107700K .......... .......... .......... .......... .......... 40% 8.13M 15s 107750K .......... .......... .......... .......... .......... 40% 13.9M 15s 107800K .......... .......... .......... .......... .......... 40% 11.2M 15s 107850K .......... .......... .......... .......... .......... 40% 17.7M 15s 107900K .......... .......... .......... .......... .......... 40% 12.5M 15s 107950K .......... .......... .......... .......... .......... 41% 15.3M 15s 108000K .......... .......... .......... .......... .......... 41% 11.8M 15s 108050K .......... .......... .......... .......... .......... 41% 12.1M 15s 108100K .......... .......... .......... .......... .......... 41% 10.2M 15s 108150K .......... .......... .......... .......... .......... 41% 14.3M 15s 108200K .......... .......... .......... .......... .......... 41% 12.4M 15s 108250K .......... .......... .......... .......... .......... 41% 17.4M 15s 108300K .......... .......... .......... .......... .......... 41% 13.7M 15s 108350K .......... .......... .......... .......... .......... 41% 13.1M 15s 108400K .......... .......... .......... .......... .......... 41% 11.7M 15s 108450K .......... .......... .......... .......... .......... 41% 7.89M 15s 108500K .......... .......... .......... .......... .......... 41% 9.03M 15s 108550K .......... .......... .......... .......... .......... 41% 8.86M 15s 108600K .......... .......... .......... .......... .......... 41% 10.7M 15s 108650K .......... .......... .......... .......... .......... 41% 8.71M 15s 108700K .......... .......... .......... .......... .......... 41% 8.60M 15s 108750K .......... .......... .......... .......... .......... 41% 2.06M 15s 108800K .......... .......... .......... .......... .......... 41% 5.58M 15s 108850K .......... .......... .......... .......... .......... 41% 5.67M 15s 108900K .......... .......... .......... .......... .......... 41% 7.77M 15s 108950K .......... .......... .......... .......... .......... 41% 5.18M 15s 109000K .......... .......... .......... .......... .......... 41% 16.0M 15s 109050K .......... .......... .......... .......... .......... 41% 15.8M 15s 109100K .......... .......... .......... .......... .......... 41% 12.8M 15s 109150K .......... .......... .......... .......... .......... 41% 13.3M 15s 109200K .......... .......... .......... .......... .......... 41% 9.61M 15s 109250K .......... .......... .......... .......... .......... 41% 13.4M 15s 109300K .......... .......... .......... .......... .......... 41% 17.7M 15s 109350K .......... .......... .......... .......... .......... 41% 14.3M 15s 109400K .......... .......... .......... .......... .......... 41% 13.1M 15s 109450K .......... .......... .......... .......... .......... 41% 13.0M 15s 109500K .......... .......... .......... .......... .......... 41% 15.2M 15s 109550K .......... .......... .......... .......... .......... 41% 13.3M 15s 109600K .......... .......... .......... .......... .......... 41% 4.68M 15s 109650K .......... .......... .......... .......... .......... 41% 22.7M 15s 109700K .......... .......... .......... .......... .......... 41% 7.65M 15s 109750K .......... .......... .......... .......... .......... 41% 6.25M 15s 109800K .......... .......... .......... .......... .......... 41% 6.86M 15s 109850K .......... .......... .......... .......... .......... 41% 8.31M 15s 109900K .......... .......... .......... .......... .......... 41% 9.79M 15s 109950K .......... .......... .......... .......... .......... 41% 6.71M 15s 110000K .......... .......... .......... .......... .......... 41% 26.3M 15s 110050K .......... .......... .......... .......... .......... 41% 14.6M 15s 110100K .......... .......... .......... .......... .......... 41% 10.6M 15s 110150K .......... .......... .......... .......... .......... 41% 16.7M 15s 110200K .......... .......... .......... .......... .......... 41% 16.9M 15s 110250K .......... .......... .......... .......... .......... 41% 16.0M 15s 110300K .......... .......... .......... .......... .......... 41% 14.2M 15s 110350K .......... .......... .......... .......... .......... 41% 9.54M 15s 110400K .......... .......... .......... .......... .......... 41% 11.6M 15s 110450K .......... .......... .......... .......... .......... 41% 8.94M 15s 110500K .......... .......... .......... .......... .......... 41% 14.2M 15s 110550K .......... .......... .......... .......... .......... 41% 8.52M 15s 110600K .......... .......... .......... .......... .......... 42% 8.08M 15s 110650K .......... .......... .......... .......... .......... 42% 4.64M 15s 110700K .......... .......... .......... .......... .......... 42% 1.10M 15s 110750K .......... .......... .......... .......... .......... 42% 1.27M 15s 110800K .......... .......... .......... .......... .......... 42% 4.24M 15s 110850K .......... .......... .......... .......... .......... 42% 1.98M 15s 110900K .......... .......... .......... .......... .......... 42% 4.05M 15s 110950K .......... .......... .......... .......... .......... 42% 3.11M 15s 111000K .......... .......... .......... .......... .......... 42% 928K 15s 111050K .......... .......... .......... .......... .......... 42% 1.26M 15s 111100K .......... .......... .......... .......... .......... 42% 2.87M 15s 111150K .......... .......... .......... .......... .......... 42% 3.90M 15s 111200K .......... .......... .......... .......... .......... 42% 1.68M 15s 111250K .......... .......... .......... .......... .......... 42% 9.20M 15s 111300K .......... .......... .......... .......... .......... 42% 10.5M 15s 111350K .......... .......... .......... .......... .......... 42% 11.2M 15s 111400K .......... .......... .......... .......... .......... 42% 18.6M 15s 111450K .......... .......... .......... .......... .......... 42% 11.1M 15s 111500K .......... .......... .......... .......... .......... 42% 19.5M 15s 111550K .......... .......... .......... .......... .......... 42% 20.8M 15s 111600K .......... .......... .......... .......... .......... 42% 10.1M 15s 111650K .......... .......... .......... .......... .......... 42% 19.2M 15s 111700K .......... .......... .......... .......... .......... 42% 11.5M 15s 111750K .......... .......... .......... .......... .......... 42% 13.9M 15s 111800K .......... .......... .......... .......... .......... 42% 18.1M 15s 111850K .......... .......... .......... .......... .......... 42% 7.77M 15s 111900K .......... .......... .......... .......... .......... 42% 20.6M 15s 111950K .......... .......... .......... .......... .......... 42% 24.2M 15s 112000K .......... .......... .......... .......... .......... 42% 17.4M 15s 112050K .......... .......... .......... .......... .......... 42% 29.2M 15s 112100K .......... .......... .......... .......... .......... 42% 23.6M 15s 112150K .......... .......... .......... .......... .......... 42% 19.9M 15s 112200K .......... .......... .......... .......... .......... 42% 17.1M 15s 112250K .......... .......... .......... .......... .......... 42% 27.0M 15s 112300K .......... .......... .......... .......... .......... 42% 22.7M 15s 112350K .......... .......... .......... .......... .......... 42% 18.9M 15s 112400K .......... .......... .......... .......... .......... 42% 25.0M 15s 112450K .......... .......... .......... .......... .......... 42% 16.9M 15s 112500K .......... .......... .......... .......... .......... 42% 22.9M 15s 112550K .......... .......... .......... .......... .......... 42% 25.8M 15s 112600K .......... .......... .......... .......... .......... 42% 10.3M 15s 112650K .......... .......... .......... .......... .......... 42% 14.7M 15s 112700K .......... .......... .......... .......... .......... 42% 17.3M 15s 112750K .......... .......... .......... .......... .......... 42% 20.9M 15s 112800K .......... .......... .......... .......... .......... 42% 19.8M 15s 112850K .......... .......... .......... .......... .......... 42% 18.9M 15s 112900K .......... .......... .......... .......... .......... 42% 14.4M 15s 112950K .......... .......... .......... .......... .......... 42% 12.7M 15s 113000K .......... .......... .......... .......... .......... 42% 9.37M 15s 113050K .......... .......... .......... .......... .......... 42% 61.2M 15s 113100K .......... .......... .......... .......... .......... 42% 13.7M 15s 113150K .......... .......... .......... .......... .......... 42% 10.6M 15s 113200K .......... .......... .......... .......... .......... 42% 8.83M 15s 113250K .......... .......... .......... .......... .......... 43% 19.4M 15s 113300K .......... .......... .......... .......... .......... 43% 12.6M 15s 113350K .......... .......... .......... .......... .......... 43% 11.1M 15s 113400K .......... .......... .......... .......... .......... 43% 16.1M 15s 113450K .......... .......... .......... .......... .......... 43% 9.46M 15s 113500K .......... .......... .......... .......... .......... 43% 20.8M 15s 113550K .......... .......... .......... .......... .......... 43% 16.1M 15s 113600K .......... .......... .......... .......... .......... 43% 11.0M 15s 113650K .......... .......... .......... .......... .......... 43% 11.4M 15s 113700K .......... .......... .......... .......... .......... 43% 12.1M 15s 113750K .......... .......... .......... .......... .......... 43% 7.54M 15s 113800K .......... .......... .......... .......... .......... 43% 9.39M 15s 113850K .......... .......... .......... .......... .......... 43% 8.93M 15s 113900K .......... .......... .......... .......... .......... 43% 10.3M 15s 113950K .......... .......... .......... .......... .......... 43% 5.45M 15s 114000K .......... .......... .......... .......... .......... 43% 3.70M 15s 114050K .......... .......... .......... .......... .......... 43% 7.99M 15s 114100K .......... .......... .......... .......... .......... 43% 14.6M 15s 114150K .......... .......... .......... .......... .......... 43% 14.6M 15s 114200K .......... .......... .......... .......... .......... 43% 11.5M 15s 114250K .......... .......... .......... .......... .......... 43% 13.7M 15s 114300K .......... .......... .......... .......... .......... 43% 10.6M 15s 114350K .......... .......... .......... .......... .......... 43% 11.7M 15s 114400K .......... .......... .......... .......... .......... 43% 10.2M 15s 114450K .......... .......... .......... .......... .......... 43% 10.8M 15s 114500K .......... .......... .......... .......... .......... 43% 17.2M 15s 114550K .......... .......... .......... .......... .......... 43% 28.4M 15s 114600K .......... .......... .......... .......... .......... 43% 19.3M 15s 114650K .......... .......... .......... .......... .......... 43% 13.1M 15s 114700K .......... .......... .......... .......... .......... 43% 15.3M 15s 114750K .......... .......... .......... .......... .......... 43% 10.9M 15s 114800K .......... .......... .......... .......... .......... 43% 10.6M 15s 114850K .......... .......... .......... .......... .......... 43% 10.5M 15s 114900K .......... .......... .......... .......... .......... 43% 13.4M 15s 114950K .......... .......... .......... .......... .......... 43% 7.63M 15s 115000K .......... .......... .......... .......... .......... 43% 10.3M 15s 115050K .......... .......... .......... .......... .......... 43% 13.6M 15s 115100K .......... .......... .......... .......... .......... 43% 11.9M 15s 115150K .......... .......... .......... .......... .......... 43% 5.84M 15s 115200K .......... .......... .......... .......... .......... 43% 6.23M 15s 115250K .......... .......... .......... .......... .......... 43% 11.0M 15s 115300K .......... .......... .......... .......... .......... 43% 6.03M 15s 115350K .......... .......... .......... .......... .......... 43% 5.42M 15s 115400K .......... .......... .......... .......... .......... 43% 13.4M 15s 115450K .......... .......... .......... .......... .......... 43% 5.99M 15s 115500K .......... .......... .......... .......... .......... 43% 10.3M 15s 115550K .......... .......... .......... .......... .......... 43% 9.45M 15s 115600K .......... .......... .......... .......... .......... 43% 7.54M 15s 115650K .......... .......... .......... .......... .......... 43% 9.38M 15s 115700K .......... .......... .......... .......... .......... 43% 8.07M 15s 115750K .......... .......... .......... .......... .......... 43% 11.9M 15s 115800K .......... .......... .......... .......... .......... 43% 11.6M 15s 115850K .......... .......... .......... .......... .......... 44% 7.80M 15s 115900K .......... .......... .......... .......... .......... 44% 8.56M 15s 115950K .......... .......... .......... .......... .......... 44% 8.29M 15s 116000K .......... .......... .......... .......... .......... 44% 7.69M 15s 116050K .......... .......... .......... .......... .......... 44% 7.58M 15s 116100K .......... .......... .......... .......... .......... 44% 6.30M 15s 116150K .......... .......... .......... .......... .......... 44% 6.09M 15s 116200K .......... .......... .......... .......... .......... 44% 7.30M 15s 116250K .......... .......... .......... .......... .......... 44% 7.84M 15s 116300K .......... .......... .......... .......... .......... 44% 6.58M 15s 116350K .......... .......... .......... .......... .......... 44% 8.57M 15s 116400K .......... .......... .......... .......... .......... 44% 8.09M 15s 116450K .......... .......... .......... .......... .......... 44% 6.37M 15s 116500K .......... .......... .......... .......... .......... 44% 7.89M 15s 116550K .......... .......... .......... .......... .......... 44% 7.45M 15s 116600K .......... .......... .......... .......... .......... 44% 6.07M 15s 116650K .......... .......... .......... .......... .......... 44% 7.67M 15s 116700K .......... .......... .......... .......... .......... 44% 6.46M 15s 116750K .......... .......... .......... .......... .......... 44% 7.57M 15s 116800K .......... .......... .......... .......... .......... 44% 9.01M 15s 116850K .......... .......... .......... .......... .......... 44% 6.55M 15s 116900K .......... .......... .......... .......... .......... 44% 8.90M 15s 116950K .......... .......... .......... .......... .......... 44% 6.91M 15s 117000K .......... .......... .......... .......... .......... 44% 6.31M 15s 117050K .......... .......... .......... .......... .......... 44% 8.19M 15s 117100K .......... .......... .......... .......... .......... 44% 6.11M 15s 117150K .......... .......... .......... .......... .......... 44% 5.34M 15s 117200K .......... .......... .......... .......... .......... 44% 5.03M 15s 117250K .......... .......... .......... .......... .......... 44% 6.35M 15s 117300K .......... .......... .......... .......... .......... 44% 6.82M 15s 117350K .......... .......... .......... .......... .......... 44% 5.97M 15s 117400K .......... .......... .......... .......... .......... 44% 6.05M 15s 117450K .......... .......... .......... .......... .......... 44% 6.78M 15s 117500K .......... .......... .......... .......... .......... 44% 8.56M 15s 117550K .......... .......... .......... .......... .......... 44% 7.38M 15s 117600K .......... .......... .......... .......... .......... 44% 7.38M 15s 117650K .......... .......... .......... .......... .......... 44% 7.13M 15s 117700K .......... .......... .......... .......... .......... 44% 7.41M 15s 117750K .......... .......... .......... .......... .......... 44% 5.77M 15s 117800K .......... .......... .......... .......... .......... 44% 10.7M 15s 117850K .......... .......... .......... .......... .......... 44% 8.52M 15s 117900K .......... .......... .......... .......... .......... 44% 10.4M 15s 117950K .......... .......... .......... .......... .......... 44% 8.47M 15s 118000K .......... .......... .......... .......... .......... 44% 8.14M 14s 118050K .......... .......... .......... .......... .......... 44% 8.56M 14s 118100K .......... .......... .......... .......... .......... 44% 9.56M 14s 118150K .......... .......... .......... .......... .......... 44% 8.45M 14s 118200K .......... .......... .......... .......... .......... 44% 7.87M 14s 118250K .......... .......... .......... .......... .......... 44% 6.45M 14s 118300K .......... .......... .......... .......... .......... 44% 8.83M 14s 118350K .......... .......... .......... .......... .......... 44% 6.32M 14s 118400K .......... .......... .......... .......... .......... 44% 7.98M 14s 118450K .......... .......... .......... .......... .......... 44% 7.40M 14s 118500K .......... .......... .......... .......... .......... 45% 7.39M 14s 118550K .......... .......... .......... .......... .......... 45% 7.76M 14s 118600K .......... .......... .......... .......... .......... 45% 7.09M 14s 118650K .......... .......... .......... .......... .......... 45% 11.0M 14s 118700K .......... .......... .......... .......... .......... 45% 4.96M 14s 118750K .......... .......... .......... .......... .......... 45% 9.18M 14s 118800K .......... .......... .......... .......... .......... 45% 6.71M 14s 118850K .......... .......... .......... .......... .......... 45% 8.71M 14s 118900K .......... .......... .......... .......... .......... 45% 9.38M 14s 118950K .......... .......... .......... .......... .......... 45% 9.08M 14s 119000K .......... .......... .......... .......... .......... 45% 8.35M 14s 119050K .......... .......... .......... .......... .......... 45% 10.7M 14s 119100K .......... .......... .......... .......... .......... 45% 7.94M 14s 119150K .......... .......... .......... .......... .......... 45% 8.60M 14s 119200K .......... .......... .......... .......... .......... 45% 7.12M 14s 119250K .......... .......... .......... .......... .......... 45% 7.95M 14s 119300K .......... .......... .......... .......... .......... 45% 9.91M 14s 119350K .......... .......... .......... .......... .......... 45% 8.86M 14s 119400K .......... .......... .......... .......... .......... 45% 10.3M 14s 119450K .......... .......... .......... .......... .......... 45% 6.84M 14s 119500K .......... .......... .......... .......... .......... 45% 4.19M 14s 119550K .......... .......... .......... .......... .......... 45% 52.7M 14s 119600K .......... .......... .......... .......... .......... 45% 4.57M 14s 119650K .......... .......... .......... .......... .......... 45% 7.65M 14s 119700K .......... .......... .......... .......... .......... 45% 5.93M 14s 119750K .......... .......... .......... .......... .......... 45% 6.92M 14s 119800K .......... .......... .......... .......... .......... 45% 6.11M 14s 119850K .......... .......... .......... .......... .......... 45% 5.87M 14s 119900K .......... .......... .......... .......... .......... 45% 11.2M 14s 119950K .......... .......... .......... .......... .......... 45% 6.48M 14s 120000K .......... .......... .......... .......... .......... 45% 6.35M 14s 120050K .......... .......... .......... .......... .......... 45% 11.4M 14s 120100K .......... .......... .......... .......... .......... 45% 6.02M 14s 120150K .......... .......... .......... .......... .......... 45% 6.02M 14s 120200K .......... .......... .......... .......... .......... 45% 9.45M 14s 120250K .......... .......... .......... .......... .......... 45% 7.80M 14s 120300K .......... .......... .......... .......... .......... 45% 7.23M 14s 120350K .......... .......... .......... .......... .......... 45% 6.39M 14s 120400K .......... .......... .......... .......... .......... 45% 9.54M 14s 120450K .......... .......... .......... .......... .......... 45% 8.20M 14s 120500K .......... .......... .......... .......... .......... 45% 7.55M 14s 120550K .......... .......... .......... .......... .......... 45% 13.2M 14s 120600K .......... .......... .......... .......... .......... 45% 8.84M 14s 120650K .......... .......... .......... .......... .......... 45% 12.2M 14s 120700K .......... .......... .......... .......... .......... 45% 9.71M 14s 120750K .......... .......... .......... .......... .......... 45% 5.83M 14s 120800K .......... .......... .......... .......... .......... 45% 13.5M 14s 120850K .......... .......... .......... .......... .......... 45% 6.03M 14s 120900K .......... .......... .......... .......... .......... 45% 5.86M 14s 120950K .......... .......... .......... .......... .......... 45% 5.41M 14s 121000K .......... .......... .......... .......... .......... 45% 5.86M 14s 121050K .......... .......... .......... .......... .......... 45% 6.08M 14s 121100K .......... .......... .......... .......... .......... 45% 5.69M 14s 121150K .......... .......... .......... .......... .......... 46% 7.33M 14s 121200K .......... .......... .......... .......... .......... 46% 11.6M 14s 121250K .......... .......... .......... .......... .......... 46% 10.8M 14s 121300K .......... .......... .......... .......... .......... 46% 7.21M 14s 121350K .......... .......... .......... .......... .......... 46% 8.20M 14s 121400K .......... .......... .......... .......... .......... 46% 7.28M 14s 121450K .......... .......... .......... .......... .......... 46% 7.77M 14s 121500K .......... .......... .......... .......... .......... 46% 8.90M 14s 121550K .......... .......... .......... .......... .......... 46% 7.84M 14s 121600K .......... .......... .......... .......... .......... 46% 5.88M 14s 121650K .......... .......... .......... .......... .......... 46% 9.82M 14s 121700K .......... .......... .......... .......... .......... 46% 6.52M 14s 121750K .......... .......... .......... .......... .......... 46% 4.70M 14s 121800K .......... .......... .......... .......... .......... 46% 13.4M 14s 121850K .......... .......... .......... .......... .......... 46% 5.90M 14s 121900K .......... .......... .......... .......... .......... 46% 5.23M 14s 121950K .......... .......... .......... .......... .......... 46% 3.15M 14s 122000K .......... .......... .......... .......... .......... 46% 4.00M 14s 122050K .......... .......... .......... .......... .......... 46% 4.18M 14s 122100K .......... .......... .......... .......... .......... 46% 4.13M 14s 122150K .......... .......... .......... .......... .......... 46% 8.85M 14s 122200K .......... .......... .......... .......... .......... 46% 5.30M 14s 122250K .......... .......... .......... .......... .......... 46% 11.8M 14s 122300K .......... .......... .......... .......... .......... 46% 7.08M 14s 122350K .......... .......... .......... .......... .......... 46% 5.24M 14s 122400K .......... .......... .......... .......... .......... 46% 7.78M 14s 122450K .......... .......... .......... .......... .......... 46% 5.89M 14s 122500K .......... .......... .......... .......... .......... 46% 7.50M 14s 122550K .......... .......... .......... .......... .......... 46% 5.78M 14s 122600K .......... .......... .......... .......... .......... 46% 6.43M 14s 122650K .......... .......... .......... .......... .......... 46% 10.4M 14s 122700K .......... .......... .......... .......... .......... 46% 6.90M 14s 122750K .......... .......... .......... .......... .......... 46% 11.5M 14s 122800K .......... .......... .......... .......... .......... 46% 9.83M 14s 122850K .......... .......... .......... .......... .......... 46% 7.23M 14s 122900K .......... .......... .......... .......... .......... 46% 12.4M 14s 122950K .......... .......... .......... .......... .......... 46% 15.1M 14s 123000K .......... .......... .......... .......... .......... 46% 9.96M 14s 123050K .......... .......... .......... .......... .......... 46% 17.0M 14s 123100K .......... .......... .......... .......... .......... 46% 19.0M 14s 123150K .......... .......... .......... .......... .......... 46% 24.5M 14s 123200K .......... .......... .......... .......... .......... 46% 13.3M 14s 123250K .......... .......... .......... .......... .......... 46% 24.7M 14s 123300K .......... .......... .......... .......... .......... 46% 21.6M 14s 123350K .......... .......... .......... .......... .......... 46% 16.4M 14s 123400K .......... .......... .......... .......... .......... 46% 12.1M 14s 123450K .......... .......... .......... .......... .......... 46% 30.0M 14s 123500K .......... .......... .......... .......... .......... 46% 17.3M 14s 123550K .......... .......... .......... .......... .......... 46% 21.4M 14s 123600K .......... .......... .......... .......... .......... 46% 27.3M 14s 123650K .......... .......... .......... .......... .......... 46% 27.1M 14s 123700K .......... .......... .......... .......... .......... 46% 25.4M 14s 123750K .......... .......... .......... .......... .......... 47% 73.6M 14s 123800K .......... .......... .......... .......... .......... 47% 16.4M 14s 123850K .......... .......... .......... .......... .......... 47% 20.8M 14s 123900K .......... .......... .......... .......... .......... 47% 55.9M 14s 123950K .......... .......... .......... .......... .......... 47% 31.9M 14s 124000K .......... .......... .......... .......... .......... 47% 34.0M 14s 124050K .......... .......... .......... .......... .......... 47% 50.3M 14s 124100K .......... .......... .......... .......... .......... 47% 32.5M 14s 124150K .......... .......... .......... .......... .......... 47% 23.5M 14s 124200K .......... .......... .......... .......... .......... 47% 68.8M 14s 124250K .......... .......... .......... .......... .......... 47% 215M 14s 124300K .......... .......... .......... .......... .......... 47% 39.4M 14s 124350K .......... .......... .......... .......... .......... 47% 53.7M 14s 124400K .......... .......... .......... .......... .......... 47% 37.7M 14s 124450K .......... .......... .......... .......... .......... 47% 30.6M 14s 124500K .......... .......... .......... .......... .......... 47% 42.5M 14s 124550K .......... .......... .......... .......... .......... 47% 18.7M 14s 124600K .......... .......... .......... .......... .......... 47% 19.4M 14s 124650K .......... .......... .......... .......... .......... 47% 17.2M 14s 124700K .......... .......... .......... .......... .......... 47% 19.4M 14s 124750K .......... .......... .......... .......... .......... 47% 50.1M 14s 124800K .......... .......... .......... .......... .......... 47% 16.3M 14s 124850K .......... .......... .......... .......... .......... 47% 17.0M 14s 124900K .......... .......... .......... .......... .......... 47% 16.7M 14s 124950K .......... .......... .......... .......... .......... 47% 21.6M 14s 125000K .......... .......... .......... .......... .......... 47% 14.9M 14s 125050K .......... .......... .......... .......... .......... 47% 39.1M 14s 125100K .......... .......... .......... .......... .......... 47% 18.6M 14s 125150K .......... .......... .......... .......... .......... 47% 16.4M 14s 125200K .......... .......... .......... .......... .......... 47% 17.1M 14s 125250K .......... .......... .......... .......... .......... 47% 31.1M 14s 125300K .......... .......... .......... .......... .......... 47% 15.7M 14s 125350K .......... .......... .......... .......... .......... 47% 16.7M 14s 125400K .......... .......... .......... .......... .......... 47% 31.8M 14s 125450K .......... .......... .......... .......... .......... 47% 13.3M 14s 125500K .......... .......... .......... .......... .......... 47% 14.6M 14s 125550K .......... .......... .......... .......... .......... 47% 41.4M 14s 125600K .......... .......... .......... .......... .......... 47% 17.5M 14s 125650K .......... .......... .......... .......... .......... 47% 13.3M 14s 125700K .......... .......... .......... .......... .......... 47% 14.6M 14s 125750K .......... .......... .......... .......... .......... 47% 24.9M 14s 125800K .......... .......... .......... .......... .......... 47% 8.99M 14s 125850K .......... .......... .......... .......... .......... 47% 60.4M 14s 125900K .......... .......... .......... .......... .......... 47% 36.7M 14s 125950K .......... .......... .......... .......... .......... 47% 12.9M 14s 126000K .......... .......... .......... .......... .......... 47% 8.85M 14s 126050K .......... .......... .......... .......... .......... 47% 133M 14s 126100K .......... .......... .......... .......... .......... 47% 10.5M 14s 126150K .......... .......... .......... .......... .......... 47% 34.0M 14s 126200K .......... .......... .......... .......... .......... 47% 9.80M 14s 126250K .......... .......... .......... .......... .......... 47% 10.6M 14s 126300K .......... .......... .......... .......... .......... 47% 16.6M 14s 126350K .......... .......... .......... .......... .......... 47% 15.7M 14s 126400K .......... .......... .......... .......... .......... 48% 11.3M 14s 126450K .......... .......... .......... .......... .......... 48% 11.9M 14s 126500K .......... .......... .......... .......... .......... 48% 14.1M 14s 126550K .......... .......... .......... .......... .......... 48% 8.12M 14s 126600K .......... .......... .......... .......... .......... 48% 11.8M 14s 126650K .......... .......... .......... .......... .......... 48% 11.2M 14s 126700K .......... .......... .......... .......... .......... 48% 9.92M 14s 126750K .......... .......... .......... .......... .......... 48% 15.8M 14s 126800K .......... .......... .......... .......... .......... 48% 15.3M 14s 126850K .......... .......... .......... .......... .......... 48% 13.1M 14s 126900K .......... .......... .......... .......... .......... 48% 15.7M 14s 126950K .......... .......... .......... .......... .......... 48% 9.25M 14s 127000K .......... .......... .......... .......... .......... 48% 15.3M 14s 127050K .......... .......... .......... .......... .......... 48% 8.93M 14s 127100K .......... .......... .......... .......... .......... 48% 17.8M 14s 127150K .......... .......... .......... .......... .......... 48% 14.6M 14s 127200K .......... .......... .......... .......... .......... 48% 7.27M 14s 127250K .......... .......... .......... .......... .......... 48% 12.7M 14s 127300K .......... .......... .......... .......... .......... 48% 13.4M 14s 127350K .......... .......... .......... .......... .......... 48% 9.33M 14s 127400K .......... .......... .......... .......... .......... 48% 13.5M 14s 127450K .......... .......... .......... .......... .......... 48% 8.02M 14s 127500K .......... .......... .......... .......... .......... 48% 14.7M 14s 127550K .......... .......... .......... .......... .......... 48% 8.99M 14s 127600K .......... .......... .......... .......... .......... 48% 9.31M 14s 127650K .......... .......... .......... .......... .......... 48% 11.2M 14s 127700K .......... .......... .......... .......... .......... 48% 7.18M 14s 127750K .......... .......... .......... .......... .......... 48% 7.58M 14s 127800K .......... .......... .......... .......... .......... 48% 11.2M 14s 127850K .......... .......... .......... .......... .......... 48% 8.82M 13s 127900K .......... .......... .......... .......... .......... 48% 10.4M 13s 127950K .......... .......... .......... .......... .......... 48% 8.03M 13s 128000K .......... .......... .......... .......... .......... 48% 10.1M 13s 128050K .......... .......... .......... .......... .......... 48% 6.68M 13s 128100K .......... .......... .......... .......... .......... 48% 9.50M 13s 128150K .......... .......... .......... .......... .......... 48% 9.65M 13s 128200K .......... .......... .......... .......... .......... 48% 9.49M 13s 128250K .......... .......... .......... .......... .......... 48% 10.5M 13s 128300K .......... .......... .......... .......... .......... 48% 10.4M 13s 128350K .......... .......... .......... .......... .......... 48% 8.15M 13s 128400K .......... .......... .......... .......... .......... 48% 9.70M 13s 128450K .......... .......... .......... .......... .......... 48% 11.9M 13s 128500K .......... .......... .......... .......... .......... 48% 9.04M 13s 128550K .......... .......... .......... .......... .......... 48% 34.9M 13s 128600K .......... .......... .......... .......... .......... 48% 10.1M 13s 128650K .......... .......... .......... .......... .......... 48% 17.3M 13s 128700K .......... .......... .......... .......... .......... 48% 13.0M 13s 128750K .......... .......... .......... .......... .......... 48% 14.1M 13s 128800K .......... .......... .......... .......... .......... 48% 16.8M 13s 128850K .......... .......... .......... .......... .......... 48% 12.5M 13s 128900K .......... .......... .......... .......... .......... 48% 16.4M 13s 128950K .......... .......... .......... .......... .......... 48% 9.91M 13s 129000K .......... .......... .......... .......... .......... 48% 19.1M 13s 129050K .......... .......... .......... .......... .......... 49% 14.0M 13s 129100K .......... .......... .......... .......... .......... 49% 10.5M 13s 129150K .......... .......... .......... .......... .......... 49% 18.7M 13s 129200K .......... .......... .......... .......... .......... 49% 12.8M 13s 129250K .......... .......... .......... .......... .......... 49% 13.1M 13s 129300K .......... .......... .......... .......... .......... 49% 16.2M 13s 129350K .......... .......... .......... .......... .......... 49% 11.8M 13s 129400K .......... .......... .......... .......... .......... 49% 11.9M 13s 129450K .......... .......... .......... .......... .......... 49% 8.70M 13s 129500K .......... .......... .......... .......... .......... 49% 13.0M 13s 129550K .......... .......... .......... .......... .......... 49% 15.1M 13s 129600K .......... .......... .......... .......... .......... 49% 12.6M 13s 129650K .......... .......... .......... .......... .......... 49% 11.9M 13s 129700K .......... .......... .......... .......... .......... 49% 13.1M 13s 129750K .......... .......... .......... .......... .......... 49% 12.0M 13s 129800K .......... .......... .......... .......... .......... 49% 12.1M 13s 129850K .......... .......... .......... .......... .......... 49% 11.3M 13s 129900K .......... .......... .......... .......... .......... 49% 13.3M 13s 129950K .......... .......... .......... .......... .......... 49% 13.4M 13s 130000K .......... .......... .......... .......... .......... 49% 6.73M 13s 130050K .......... .......... .......... .......... .......... 49% 32.1M 13s 130100K .......... .......... .......... .......... .......... 49% 9.23M 13s 130150K .......... .......... .......... .......... .......... 49% 14.8M 13s 130200K .......... .......... .......... .......... .......... 49% 11.2M 13s 130250K .......... .......... .......... .......... .......... 49% 17.2M 13s 130300K .......... .......... .......... .......... .......... 49% 12.7M 13s 130350K .......... .......... .......... .......... .......... 49% 14.1M 13s 130400K .......... .......... .......... .......... .......... 49% 12.8M 13s 130450K .......... .......... .......... .......... .......... 49% 3.79M 13s 130500K .......... .......... .......... .......... .......... 49% 134M 13s 130550K .......... .......... .......... .......... .......... 49% 6.06M 13s 130600K .......... .......... .......... .......... .......... 49% 131M 13s 130650K .......... .......... .......... .......... .......... 49% 19.5M 13s 130700K .......... .......... .......... .......... .......... 49% 14.2M 13s 130750K .......... .......... .......... .......... .......... 49% 15.1M 13s 130800K .......... .......... .......... .......... .......... 49% 17.9M 13s 130850K .......... .......... .......... .......... .......... 49% 10.3M 13s 130900K .......... .......... .......... .......... .......... 49% 13.9M 13s 130950K .......... .......... .......... .......... .......... 49% 15.0M 13s 131000K .......... .......... .......... .......... .......... 49% 10.1M 13s 131050K .......... .......... .......... .......... .......... 49% 12.1M 13s 131100K .......... .......... .......... .......... .......... 49% 6.72M 13s 131150K .......... .......... .......... .......... .......... 49% 10.1M 13s 131200K .......... .......... .......... .......... .......... 49% 10.2M 13s 131250K .......... .......... .......... .......... .......... 49% 13.7M 13s 131300K .......... .......... .......... .......... .......... 49% 14.7M 13s 131350K .......... .......... .......... .......... .......... 49% 9.42M 13s 131400K .......... .......... .......... .......... .......... 49% 13.0M 13s 131450K .......... .......... .......... .......... .......... 49% 9.10M 13s 131500K .......... .......... .......... .......... .......... 49% 3.45M 13s 131550K .......... .......... .......... .......... .......... 49% 235M 13s 131600K .......... .......... .......... .......... .......... 49% 248M 13s 131650K .......... .......... .......... .......... .......... 50% 6.45M 13s 131700K .......... .......... .......... .......... .......... 50% 29.5M 13s 131750K .......... .......... .......... .......... .......... 50% 11.9M 13s 131800K .......... .......... .......... .......... .......... 50% 10.7M 13s 131850K .......... .......... .......... .......... .......... 50% 9.56M 13s 131900K .......... .......... .......... .......... .......... 50% 11.5M 13s 131950K .......... .......... .......... .......... .......... 50% 11.4M 13s 132000K .......... .......... .......... .......... .......... 50% 9.49M 13s 132050K .......... .......... .......... .......... .......... 50% 7.00M 13s 132100K .......... .......... .......... .......... .......... 50% 11.2M 13s 132150K .......... .......... .......... .......... .......... 50% 7.15M 13s 132200K .......... .......... .......... .......... .......... 50% 7.40M 13s 132250K .......... .......... .......... .......... .......... 50% 5.84M 13s 132300K .......... .......... .......... .......... .......... 50% 9.25M 13s 132350K .......... .......... .......... .......... .......... 50% 8.70M 13s 132400K .......... .......... .......... .......... .......... 50% 9.05M 13s 132450K .......... .......... .......... .......... .......... 50% 7.87M 13s 132500K .......... .......... .......... .......... .......... 50% 8.68M 13s 132550K .......... .......... .......... .......... .......... 50% 10.3M 13s 132600K .......... .......... .......... .......... .......... 50% 16.2M 13s 132650K .......... .......... .......... .......... .......... 50% 20.2M 13s 132700K .......... .......... .......... .......... .......... 50% 20.0M 13s 132750K .......... .......... .......... .......... .......... 50% 15.1M 13s 132800K .......... .......... .......... .......... .......... 50% 17.1M 13s 132850K .......... .......... .......... .......... .......... 50% 12.3M 13s 132900K .......... .......... .......... .......... .......... 50% 13.3M 13s 132950K .......... .......... .......... .......... .......... 50% 11.8M 13s 133000K .......... .......... .......... .......... .......... 50% 8.43M 13s 133050K .......... .......... .......... .......... .......... 50% 14.4M 13s 133100K .......... .......... .......... .......... .......... 50% 13.6M 13s 133150K .......... .......... .......... .......... .......... 50% 16.0M 13s 133200K .......... .......... .......... .......... .......... 50% 8.19M 13s 133250K .......... .......... .......... .......... .......... 50% 13.1M 13s 133300K .......... .......... .......... .......... .......... 50% 10.8M 13s 133350K .......... .......... .......... .......... .......... 50% 7.39M 13s 133400K .......... .......... .......... .......... .......... 50% 13.4M 13s 133450K .......... .......... .......... .......... .......... 50% 10.2M 13s 133500K .......... .......... .......... .......... .......... 50% 12.7M 13s 133550K .......... .......... .......... .......... .......... 50% 9.75M 13s 133600K .......... .......... .......... .......... .......... 50% 8.61M 13s 133650K .......... .......... .......... .......... .......... 50% 12.3M 13s 133700K .......... .......... .......... .......... .......... 50% 9.46M 13s 133750K .......... .......... .......... .......... .......... 50% 11.7M 13s 133800K .......... .......... .......... .......... .......... 50% 9.76M 13s 133850K .......... .......... .......... .......... .......... 50% 10.8M 13s 133900K .......... .......... .......... .......... .......... 50% 11.1M 13s 133950K .......... .......... .......... .......... .......... 50% 8.93M 13s 134000K .......... .......... .......... .......... .......... 50% 11.9M 13s 134050K .......... .......... .......... .......... .......... 50% 11.6M 13s 134100K .......... .......... .......... .......... .......... 50% 10.2M 13s 134150K .......... .......... .......... .......... .......... 50% 10.3M 13s 134200K .......... .......... .......... .......... .......... 50% 9.28M 13s 134250K .......... .......... .......... .......... .......... 50% 4.31M 13s 134300K .......... .......... .......... .......... .......... 51% 103M 13s 134350K .......... .......... .......... .......... .......... 51% 8.26M 13s 134400K .......... .......... .......... .......... .......... 51% 8.66M 13s 134450K .......... .......... .......... .......... .......... 51% 11.2M 13s 134500K .......... .......... .......... .......... .......... 51% 8.12M 13s 134550K .......... .......... .......... .......... .......... 51% 11.2M 13s 134600K .......... .......... .......... .......... .......... 51% 12.3M 13s 134650K .......... .......... .......... .......... .......... 51% 12.1M 13s 134700K .......... .......... .......... .......... .......... 51% 9.15M 13s 134750K .......... .......... .......... .......... .......... 51% 13.2M 13s 134800K .......... .......... .......... .......... .......... 51% 10.7M 13s 134850K .......... .......... .......... .......... .......... 51% 10.2M 13s 134900K .......... .......... .......... .......... .......... 51% 13.0M 13s 134950K .......... .......... .......... .......... .......... 51% 15.6M 13s 135000K .......... .......... .......... .......... .......... 51% 23.2M 13s 135050K .......... .......... .......... .......... .......... 51% 19.8M 13s 135100K .......... .......... .......... .......... .......... 51% 26.8M 13s 135150K .......... .......... .......... .......... .......... 51% 36.6M 13s 135200K .......... .......... .......... .......... .......... 51% 18.1M 13s 135250K .......... .......... .......... .......... .......... 51% 18.3M 13s 135300K .......... .......... .......... .......... .......... 51% 36.1M 13s 135350K .......... .......... .......... .......... .......... 51% 16.7M 13s 135400K .......... .......... .......... .......... .......... 51% 18.4M 13s 135450K .......... .......... .......... .......... .......... 51% 13.7M 13s 135500K .......... .......... .......... .......... .......... 51% 13.2M 13s 135550K .......... .......... .......... .......... .......... 51% 25.0M 13s 135600K .......... .......... .......... .......... .......... 51% 15.1M 13s 135650K .......... .......... .......... .......... .......... 51% 18.6M 13s 135700K .......... .......... .......... .......... .......... 51% 12.2M 13s 135750K .......... .......... .......... .......... .......... 51% 15.8M 13s 135800K .......... .......... .......... .......... .......... 51% 14.2M 13s 135850K .......... .......... .......... .......... .......... 51% 14.2M 13s 135900K .......... .......... .......... .......... .......... 51% 13.3M 13s 135950K .......... .......... .......... .......... .......... 51% 9.52M 13s 136000K .......... .......... .......... .......... .......... 51% 12.9M 13s 136050K .......... .......... .......... .......... .......... 51% 12.7M 13s 136100K .......... .......... .......... .......... .......... 51% 13.1M 13s 136150K .......... .......... .......... .......... .......... 51% 12.3M 13s 136200K .......... .......... .......... .......... .......... 51% 9.69M 13s 136250K .......... .......... .......... .......... .......... 51% 13.4M 13s 136300K .......... .......... .......... .......... .......... 51% 12.2M 13s 136350K .......... .......... .......... .......... .......... 51% 10.2M 13s 136400K .......... .......... .......... .......... .......... 51% 11.5M 13s 136450K .......... .......... .......... .......... .......... 51% 11.9M 13s 136500K .......... .......... .......... .......... .......... 51% 11.1M 13s 136550K .......... .......... .......... .......... .......... 51% 12.2M 13s 136600K .......... .......... .......... .......... .......... 51% 11.6M 13s 136650K .......... .......... .......... .......... .......... 51% 10.9M 13s 136700K .......... .......... .......... .......... .......... 51% 8.49M 12s 136750K .......... .......... .......... .......... .......... 51% 9.98M 12s 136800K .......... .......... .......... .......... .......... 51% 12.8M 12s 136850K .......... .......... .......... .......... .......... 51% 11.1M 12s 136900K .......... .......... .......... .......... .......... 51% 20.1M 12s 136950K .......... .......... .......... .......... .......... 52% 8.71M 12s 137000K .......... .......... .......... .......... .......... 52% 63.1M 12s 137050K .......... .......... .......... .......... .......... 52% 14.0M 12s 137100K .......... .......... .......... .......... .......... 52% 16.2M 12s 137150K .......... .......... .......... .......... .......... 52% 11.1M 12s 137200K .......... .......... .......... .......... .......... 52% 18.0M 12s 137250K .......... .......... .......... .......... .......... 52% 18.5M 12s 137300K .......... .......... .......... .......... .......... 52% 22.1M 12s 137350K .......... .......... .......... .......... .......... 52% 14.4M 12s 137400K .......... .......... .......... .......... .......... 52% 24.6M 12s 137450K .......... .......... .......... .......... .......... 52% 14.7M 12s 137500K .......... .......... .......... .......... .......... 52% 20.7M 12s 137550K .......... .......... .......... .......... .......... 52% 17.0M 12s 137600K .......... .......... .......... .......... .......... 52% 20.2M 12s 137650K .......... .......... .......... .......... .......... 52% 20.9M 12s 137700K .......... .......... .......... .......... .......... 52% 12.7M 12s 137750K .......... .......... .......... .......... .......... 52% 15.0M 12s 137800K .......... .......... .......... .......... .......... 52% 16.7M 12s 137850K .......... .......... .......... .......... .......... 52% 31.7M 12s 137900K .......... .......... .......... .......... .......... 52% 30.9M 12s 137950K .......... .......... .......... .......... .......... 52% 32.8M 12s 138000K .......... .......... .......... .......... .......... 52% 81.0M 12s 138050K .......... .......... .......... .......... .......... 52% 23.2M 12s 138100K .......... .......... .......... .......... .......... 52% 31.0M 12s 138150K .......... .......... .......... .......... .......... 52% 42.5M 12s 138200K .......... .......... .......... .......... .......... 52% 24.1M 12s 138250K .......... .......... .......... .......... .......... 52% 18.5M 12s 138300K .......... .......... .......... .......... .......... 52% 18.3M 12s 138350K .......... .......... .......... .......... .......... 52% 18.0M 12s 138400K .......... .......... .......... .......... .......... 52% 20.8M 12s 138450K .......... .......... .......... .......... .......... 52% 23.1M 12s 138500K .......... .......... .......... .......... .......... 52% 13.4M 12s 138550K .......... .......... .......... .......... .......... 52% 34.8M 12s 138600K .......... .......... .......... .......... .......... 52% 15.3M 12s 138650K .......... .......... .......... .......... .......... 52% 17.3M 12s 138700K .......... .......... .......... .......... .......... 52% 16.7M 12s 138750K .......... .......... .......... .......... .......... 52% 23.4M 12s 138800K .......... .......... .......... .......... .......... 52% 21.7M 12s 138850K .......... .......... .......... .......... .......... 52% 15.5M 12s 138900K .......... .......... .......... .......... .......... 52% 18.5M 12s 138950K .......... .......... .......... .......... .......... 52% 24.9M 12s 139000K .......... .......... .......... .......... .......... 52% 18.4M 12s 139050K .......... .......... .......... .......... .......... 52% 26.2M 12s 139100K .......... .......... .......... .......... .......... 52% 15.8M 12s 139150K .......... .......... .......... .......... .......... 52% 14.9M 12s 139200K .......... .......... .......... .......... .......... 52% 22.5M 12s 139250K .......... .......... .......... .......... .......... 52% 13.3M 12s 139300K .......... .......... .......... .......... .......... 52% 39.7M 12s 139350K .......... .......... .......... .......... .......... 52% 19.9M 12s 139400K .......... .......... .......... .......... .......... 52% 6.42M 12s 139450K .......... .......... .......... .......... .......... 52% 67.9M 12s 139500K .......... .......... .......... .......... .......... 52% 11.3M 12s 139550K .......... .......... .......... .......... .......... 53% 36.0M 12s 139600K .......... .......... .......... .......... .......... 53% 8.83M 12s 139650K .......... .......... .......... .......... .......... 53% 12.1M 12s 139700K .......... .......... .......... .......... .......... 53% 14.6M 12s 139750K .......... .......... .......... .......... .......... 53% 11.5M 12s 139800K .......... .......... .......... .......... .......... 53% 12.7M 12s 139850K .......... .......... .......... .......... .......... 53% 14.1M 12s 139900K .......... .......... .......... .......... .......... 53% 5.12M 12s 139950K .......... .......... .......... .......... .......... 53% 5.29M 12s 140000K .......... .......... .......... .......... .......... 53% 11.5M 12s 140050K .......... .......... .......... .......... .......... 53% 9.93M 12s 140100K .......... .......... .......... .......... .......... 53% 10.6M 12s 140150K .......... .......... .......... .......... .......... 53% 12.9M 12s 140200K .......... .......... .......... .......... .......... 53% 6.48M 12s 140250K .......... .......... .......... .......... .......... 53% 9.40M 12s 140300K .......... .......... .......... .......... .......... 53% 6.38M 12s 140350K .......... .......... .......... .......... .......... 53% 5.83M 12s 140400K .......... .......... .......... .......... .......... 53% 8.02M 12s 140450K .......... .......... .......... .......... .......... 53% 6.09M 12s 140500K .......... .......... .......... .......... .......... 53% 7.76M 12s 140550K .......... .......... .......... .......... .......... 53% 7.00M 12s 140600K .......... .......... .......... .......... .......... 53% 8.54M 12s 140650K .......... .......... .......... .......... .......... 53% 7.49M 12s 140700K .......... .......... .......... .......... .......... 53% 6.72M 12s 140750K .......... .......... .......... .......... .......... 53% 8.19M 12s 140800K .......... .......... .......... .......... .......... 53% 6.21M 12s 140850K .......... .......... .......... .......... .......... 53% 6.14M 12s 140900K .......... .......... .......... .......... .......... 53% 8.82M 12s 140950K .......... .......... .......... .......... .......... 53% 8.88M 12s 141000K .......... .......... .......... .......... .......... 53% 7.45M 12s 141050K .......... .......... .......... .......... .......... 53% 10.5M 12s 141100K .......... .......... .......... .......... .......... 53% 8.24M 12s 141150K .......... .......... .......... .......... .......... 53% 6.59M 12s 141200K .......... .......... .......... .......... .......... 53% 6.36M 12s 141250K .......... .......... .......... .......... .......... 53% 8.92M 12s 141300K .......... .......... .......... .......... .......... 53% 8.78M 12s 141350K .......... .......... .......... .......... .......... 53% 7.79M 12s 141400K .......... .......... .......... .......... .......... 53% 7.65M 12s 141450K .......... .......... .......... .......... .......... 53% 7.25M 12s 141500K .......... .......... .......... .......... .......... 53% 10.4M 12s 141550K .......... .......... .......... .......... .......... 53% 4.21M 12s 141600K .......... .......... .......... .......... .......... 53% 2.87M 12s 141650K .......... .......... .......... .......... .......... 53% 2.73M 12s 141700K .......... .......... .......... .......... .......... 53% 5.40M 12s 141750K .......... .......... .......... .......... .......... 53% 5.48M 12s 141800K .......... .......... .......... .......... .......... 53% 5.36M 12s 141850K .......... .......... .......... .......... .......... 53% 5.96M 12s 141900K .......... .......... .......... .......... .......... 53% 7.02M 12s 141950K .......... .......... .......... .......... .......... 53% 6.57M 12s 142000K .......... .......... .......... .......... .......... 53% 4.20M 12s 142050K .......... .......... .......... .......... .......... 53% 11.0M 12s 142100K .......... .......... .......... .......... .......... 53% 4.41M 12s 142150K .......... .......... .......... .......... .......... 53% 5.69M 12s 142200K .......... .......... .......... .......... .......... 54% 7.50M 12s 142250K .......... .......... .......... .......... .......... 54% 11.5M 12s 142300K .......... .......... .......... .......... .......... 54% 11.9M 12s 142350K .......... .......... .......... .......... .......... 54% 7.71M 12s 142400K .......... .......... .......... .......... .......... 54% 9.04M 12s 142450K .......... .......... .......... .......... .......... 54% 8.23M 12s 142500K .......... .......... .......... .......... .......... 54% 10.5M 12s 142550K .......... .......... .......... .......... .......... 54% 10.0M 12s 142600K .......... .......... .......... .......... .......... 54% 8.09M 12s 142650K .......... .......... .......... .......... .......... 54% 6.31M 12s 142700K .......... .......... .......... .......... .......... 54% 6.80M 12s 142750K .......... .......... .......... .......... .......... 54% 7.89M 12s 142800K .......... .......... .......... .......... .......... 54% 6.60M 12s 142850K .......... .......... .......... .......... .......... 54% 6.83M 12s 142900K .......... .......... .......... .......... .......... 54% 8.09M 12s 142950K .......... .......... .......... .......... .......... 54% 6.96M 12s 143000K .......... .......... .......... .......... .......... 54% 12.5M 12s 143050K .......... .......... .......... .......... .......... 54% 6.82M 12s 143100K .......... .......... .......... .......... .......... 54% 6.73M 12s 143150K .......... .......... .......... .......... .......... 54% 11.0M 12s 143200K .......... .......... .......... .......... .......... 54% 7.36M 12s 143250K .......... .......... .......... .......... .......... 54% 10.4M 12s 143300K .......... .......... .......... .......... .......... 54% 7.89M 12s 143350K .......... .......... .......... .......... .......... 54% 8.19M 12s 143400K .......... .......... .......... .......... .......... 54% 8.70M 12s 143450K .......... .......... .......... .......... .......... 54% 7.57M 12s 143500K .......... .......... .......... .......... .......... 54% 9.03M 12s 143550K .......... .......... .......... .......... .......... 54% 9.30M 12s 143600K .......... .......... .......... .......... .......... 54% 7.04M 12s 143650K .......... .......... .......... .......... .......... 54% 12.0M 12s 143700K .......... .......... .......... .......... .......... 54% 7.01M 12s 143750K .......... .......... .......... .......... .......... 54% 7.99M 12s 143800K .......... .......... .......... .......... .......... 54% 8.65M 12s 143850K .......... .......... .......... .......... .......... 54% 9.44M 12s 143900K .......... .......... .......... .......... .......... 54% 8.74M 12s 143950K .......... .......... .......... .......... .......... 54% 8.65M 12s 144000K .......... .......... .......... .......... .......... 54% 10.5M 12s 144050K .......... .......... .......... .......... .......... 54% 10.1M 12s 144100K .......... .......... .......... .......... .......... 54% 9.67M 12s 144150K .......... .......... .......... .......... .......... 54% 9.90M 12s 144200K .......... .......... .......... .......... .......... 54% 5.82M 12s 144250K .......... .......... .......... .......... .......... 54% 11.6M 12s 144300K .......... .......... .......... .......... .......... 54% 7.38M 12s 144350K .......... .......... .......... .......... .......... 54% 5.58M 12s 144400K .......... .......... .......... .......... .......... 54% 3.73M 12s 144450K .......... .......... .......... .......... .......... 54% 15.7M 12s 144500K .......... .......... .......... .......... .......... 54% 7.31M 12s 144550K .......... .......... .......... .......... .......... 54% 9.73M 12s 144600K .......... .......... .......... .......... .......... 54% 5.98M 12s 144650K .......... .......... .......... .......... .......... 54% 7.25M 12s 144700K .......... .......... .......... .......... .......... 54% 6.82M 12s 144750K .......... .......... .......... .......... .......... 54% 5.65M 12s 144800K .......... .......... .......... .......... .......... 54% 7.26M 12s 144850K .......... .......... .......... .......... .......... 55% 7.80M 12s 144900K .......... .......... .......... .......... .......... 55% 6.92M 12s 144950K .......... .......... .......... .......... .......... 55% 6.08M 12s 145000K .......... .......... .......... .......... .......... 55% 5.27M 12s 145050K .......... .......... .......... .......... .......... 55% 7.31M 12s 145100K .......... .......... .......... .......... .......... 55% 13.8M 12s 145150K .......... .......... .......... .......... .......... 55% 18.6M 12s 145200K .......... .......... .......... .......... .......... 55% 9.21M 12s 145250K .......... .......... .......... .......... .......... 55% 8.55M 12s 145300K .......... .......... .......... .......... .......... 55% 5.63M 12s 145350K .......... .......... .......... .......... .......... 55% 6.79M 12s 145400K .......... .......... .......... .......... .......... 55% 5.29M 12s 145450K .......... .......... .......... .......... .......... 55% 8.40M 12s 145500K .......... .......... .......... .......... .......... 55% 7.58M 12s 145550K .......... .......... .......... .......... .......... 55% 10.2M 12s 145600K .......... .......... .......... .......... .......... 55% 9.33M 12s 145650K .......... .......... .......... .......... .......... 55% 9.03M 12s 145700K .......... .......... .......... .......... .......... 55% 7.23M 12s 145750K .......... .......... .......... .......... .......... 55% 18.4M 12s 145800K .......... .......... .......... .......... .......... 55% 11.5M 12s 145850K .......... .......... .......... .......... .......... 55% 9.35M 12s 145900K .......... .......... .......... .......... .......... 55% 19.4M 12s 145950K .......... .......... .......... .......... .......... 55% 11.2M 12s 146000K .......... .......... .......... .......... .......... 55% 15.1M 12s 146050K .......... .......... .......... .......... .......... 55% 11.9M 12s 146100K .......... .......... .......... .......... .......... 55% 10.4M 12s 146150K .......... .......... .......... .......... .......... 55% 10.7M 12s 146200K .......... .......... .......... .......... .......... 55% 9.41M 12s 146250K .......... .......... .......... .......... .......... 55% 11.4M 12s 146300K .......... .......... .......... .......... .......... 55% 9.51M 12s 146350K .......... .......... .......... .......... .......... 55% 5.88M 12s 146400K .......... .......... .......... .......... .......... 55% 5.38M 12s 146450K .......... .......... .......... .......... .......... 55% 4.81M 12s 146500K .......... .......... .......... .......... .......... 55% 5.49M 12s 146550K .......... .......... .......... .......... .......... 55% 7.69M 12s 146600K .......... .......... .......... .......... .......... 55% 4.92M 12s 146650K .......... .......... .......... .......... .......... 55% 7.73M 12s 146700K .......... .......... .......... .......... .......... 55% 6.84M 12s 146750K .......... .......... .......... .......... .......... 55% 8.89M 12s 146800K .......... .......... .......... .......... .......... 55% 10.9M 12s 146850K .......... .......... .......... .......... .......... 55% 10.5M 12s 146900K .......... .......... .......... .......... .......... 55% 11.8M 12s 146950K .......... .......... .......... .......... .......... 55% 8.95M 12s 147000K .......... .......... .......... .......... .......... 55% 7.97M 12s 147050K .......... .......... .......... .......... .......... 55% 9.35M 12s 147100K .......... .......... .......... .......... .......... 55% 8.67M 12s 147150K .......... .......... .......... .......... .......... 55% 22.7M 12s 147200K .......... .......... .......... .......... .......... 55% 11.3M 12s 147250K .......... .......... .......... .......... .......... 55% 16.5M 12s 147300K .......... .......... .......... .......... .......... 55% 10.8M 12s 147350K .......... .......... .......... .......... .......... 55% 10.8M 12s 147400K .......... .......... .......... .......... .......... 55% 10.7M 12s 147450K .......... .......... .......... .......... .......... 56% 11.3M 12s 147500K .......... .......... .......... .......... .......... 56% 12.6M 11s 147550K .......... .......... .......... .......... .......... 56% 11.8M 11s 147600K .......... .......... .......... .......... .......... 56% 13.9M 11s 147650K .......... .......... .......... .......... .......... 56% 8.89M 11s 147700K .......... .......... .......... .......... .......... 56% 15.0M 11s 147750K .......... .......... .......... .......... .......... 56% 7.43M 11s 147800K .......... .......... .......... .......... .......... 56% 10.0M 11s 147850K .......... .......... .......... .......... .......... 56% 7.11M 11s 147900K .......... .......... .......... .......... .......... 56% 6.97M 11s 147950K .......... .......... .......... .......... .......... 56% 4.74M 11s 148000K .......... .......... .......... .......... .......... 56% 7.85M 11s 148050K .......... .......... .......... .......... .......... 56% 7.89M 11s 148100K .......... .......... .......... .......... .......... 56% 5.87M 11s 148150K .......... .......... .......... .......... .......... 56% 8.36M 11s 148200K .......... .......... .......... .......... .......... 56% 8.21M 11s 148250K .......... .......... .......... .......... .......... 56% 11.8M 11s 148300K .......... .......... .......... .......... .......... 56% 15.3M 11s 148350K .......... .......... .......... .......... .......... 56% 13.2M 11s 148400K .......... .......... .......... .......... .......... 56% 7.04M 11s 148450K .......... .......... .......... .......... .......... 56% 9.50M 11s 148500K .......... .......... .......... .......... .......... 56% 11.1M 11s 148550K .......... .......... .......... .......... .......... 56% 12.6M 11s 148600K .......... .......... .......... .......... .......... 56% 10.9M 11s 148650K .......... .......... .......... .......... .......... 56% 13.8M 11s 148700K .......... .......... .......... .......... .......... 56% 11.5M 11s 148750K .......... .......... .......... .......... .......... 56% 9.54M 11s 148800K .......... .......... .......... .......... .......... 56% 8.22M 11s 148850K .......... .......... .......... .......... .......... 56% 6.83M 11s 148900K .......... .......... .......... .......... .......... 56% 10.2M 11s 148950K .......... .......... .......... .......... .......... 56% 9.32M 11s 149000K .......... .......... .......... .......... .......... 56% 14.7M 11s 149050K .......... .......... .......... .......... .......... 56% 17.2M 11s 149100K .......... .......... .......... .......... .......... 56% 13.4M 11s 149150K .......... .......... .......... .......... .......... 56% 11.3M 11s 149200K .......... .......... .......... .......... .......... 56% 11.3M 11s 149250K .......... .......... .......... .......... .......... 56% 12.7M 11s 149300K .......... .......... .......... .......... .......... 56% 9.34M 11s 149350K .......... .......... .......... .......... .......... 56% 8.34M 11s 149400K .......... .......... .......... .......... .......... 56% 12.1M 11s 149450K .......... .......... .......... .......... .......... 56% 8.46M 11s 149500K .......... .......... .......... .......... .......... 56% 11.3M 11s 149550K .......... .......... .......... .......... .......... 56% 13.7M 11s 149600K .......... .......... .......... .......... .......... 56% 6.92M 11s 149650K .......... .......... .......... .......... .......... 56% 5.26M 11s 149700K .......... .......... .......... .......... .......... 56% 7.33M 11s 149750K .......... .......... .......... .......... .......... 56% 8.33M 11s 149800K .......... .......... .......... .......... .......... 56% 7.35M 11s 149850K .......... .......... .......... .......... .......... 56% 5.74M 11s 149900K .......... .......... .......... .......... .......... 56% 8.42M 11s 149950K .......... .......... .......... .......... .......... 56% 9.25M 11s 150000K .......... .......... .......... .......... .......... 56% 9.29M 11s 150050K .......... .......... .......... .......... .......... 56% 12.6M 11s 150100K .......... .......... .......... .......... .......... 57% 7.55M 11s 150150K .......... .......... .......... .......... .......... 57% 6.44M 11s 150200K .......... .......... .......... .......... .......... 57% 14.2M 11s 150250K .......... .......... .......... .......... .......... 57% 10.1M 11s 150300K .......... .......... .......... .......... .......... 57% 6.29M 11s 150350K .......... .......... .......... .......... .......... 57% 6.72M 11s 150400K .......... .......... .......... .......... .......... 57% 6.12M 11s 150450K .......... .......... .......... .......... .......... 57% 5.69M 11s 150500K .......... .......... .......... .......... .......... 57% 5.74M 11s 150550K .......... .......... .......... .......... .......... 57% 14.1M 11s 150600K .......... .......... .......... .......... .......... 57% 9.35M 11s 150650K .......... .......... .......... .......... .......... 57% 12.5M 11s 150700K .......... .......... .......... .......... .......... 57% 10.4M 11s 150750K .......... .......... .......... .......... .......... 57% 11.0M 11s 150800K .......... .......... .......... .......... .......... 57% 7.82M 11s 150850K .......... .......... .......... .......... .......... 57% 5.33M 11s 150900K .......... .......... .......... .......... .......... 57% 9.05M 11s 150950K .......... .......... .......... .......... .......... 57% 10.5M 11s 151000K .......... .......... .......... .......... .......... 57% 7.33M 11s 151050K .......... .......... .......... .......... .......... 57% 11.6M 11s 151100K .......... .......... .......... .......... .......... 57% 7.59M 11s 151150K .......... .......... .......... .......... .......... 57% 12.5M 11s 151200K .......... .......... .......... .......... .......... 57% 6.32M 11s 151250K .......... .......... .......... .......... .......... 57% 4.05M 11s 151300K .......... .......... .......... .......... .......... 57% 1.81M 11s 151350K .......... .......... .......... .......... .......... 57% 759K 11s 151400K .......... .......... .......... .......... .......... 57% 974K 11s 151450K .......... .......... .......... .......... .......... 57% 1.89M 11s 151500K .......... .......... .......... .......... .......... 57% 1.43M 11s 151550K .......... .......... .......... .......... .......... 57% 5.45M 11s 151600K .......... .......... .......... .......... .......... 57% 6.21M 11s 151650K .......... .......... .......... .......... .......... 57% 6.00M 11s 151700K .......... .......... .......... .......... .......... 57% 3.97M 11s 151750K .......... .......... .......... .......... .......... 57% 8.99M 11s 151800K .......... .......... .......... .......... .......... 57% 9.11M 11s 151850K .......... .......... .......... .......... .......... 57% 7.58M 11s 151900K .......... .......... .......... .......... .......... 57% 7.47M 11s 151950K .......... .......... .......... .......... .......... 57% 7.97M 11s 152000K .......... .......... .......... .......... .......... 57% 7.05M 11s 152050K .......... .......... .......... .......... .......... 57% 10.8M 11s 152100K .......... .......... .......... .......... .......... 57% 6.68M 11s 152150K .......... .......... .......... .......... .......... 57% 13.5M 11s 152200K .......... .......... .......... .......... .......... 57% 7.04M 11s 152250K .......... .......... .......... .......... .......... 57% 4.15M 11s 152300K .......... .......... .......... .......... .......... 57% 13.8M 11s 152350K .......... .......... .......... .......... .......... 57% 6.77M 11s 152400K .......... .......... .......... .......... .......... 57% 9.65M 11s 152450K .......... .......... .......... .......... .......... 57% 7.72M 11s 152500K .......... .......... .......... .......... .......... 57% 9.56M 11s 152550K .......... .......... .......... .......... .......... 57% 9.24M 11s 152600K .......... .......... .......... .......... .......... 57% 8.55M 11s 152650K .......... .......... .......... .......... .......... 57% 17.4M 11s 152700K .......... .......... .......... .......... .......... 57% 13.2M 11s 152750K .......... .......... .......... .......... .......... 58% 8.51M 11s 152800K .......... .......... .......... .......... .......... 58% 11.9M 11s 152850K .......... .......... .......... .......... .......... 58% 11.3M 11s 152900K .......... .......... .......... .......... .......... 58% 13.3M 11s 152950K .......... .......... .......... .......... .......... 58% 11.3M 11s 153000K .......... .......... .......... .......... .......... 58% 12.8M 11s 153050K .......... .......... .......... .......... .......... 58% 8.50M 11s 153100K .......... .......... .......... .......... .......... 58% 9.88M 11s 153150K .......... .......... .......... .......... .......... 58% 12.8M 11s 153200K .......... .......... .......... .......... .......... 58% 7.69M 11s 153250K .......... .......... .......... .......... .......... 58% 10.2M 11s 153300K .......... .......... .......... .......... .......... 58% 13.2M 11s 153350K .......... .......... .......... .......... .......... 58% 8.58M 11s 153400K .......... .......... .......... .......... .......... 58% 9.38M 11s 153450K .......... .......... .......... .......... .......... 58% 10.9M 11s 153500K .......... .......... .......... .......... .......... 58% 9.73M 11s 153550K .......... .......... .......... .......... .......... 58% 8.32M 11s 153600K .......... .......... .......... .......... .......... 58% 6.69M 11s 153650K .......... .......... .......... .......... .......... 58% 9.04M 11s 153700K .......... .......... .......... .......... .......... 58% 9.22M 11s 153750K .......... .......... .......... .......... .......... 58% 7.16M 11s 153800K .......... .......... .......... .......... .......... 58% 7.49M 11s 153850K .......... .......... .......... .......... .......... 58% 7.12M 11s 153900K .......... .......... .......... .......... .......... 58% 7.06M 11s 153950K .......... .......... .......... .......... .......... 58% 5.48M 11s 154000K .......... .......... .......... .......... .......... 58% 7.92M 11s 154050K .......... .......... .......... .......... .......... 58% 5.54M 11s 154100K .......... .......... .......... .......... .......... 58% 4.06M 11s 154150K .......... .......... .......... .......... .......... 58% 5.98M 11s 154200K .......... .......... .......... .......... .......... 58% 5.42M 11s 154250K .......... .......... .......... .......... .......... 58% 6.02M 11s 154300K .......... .......... .......... .......... .......... 58% 7.36M 11s 154350K .......... .......... .......... .......... .......... 58% 4.55M 11s 154400K .......... .......... .......... .......... .......... 58% 5.75M 11s 154450K .......... .......... .......... .......... .......... 58% 5.08M 11s 154500K .......... .......... .......... .......... .......... 58% 5.65M 11s 154550K .......... .......... .......... .......... .......... 58% 6.39M 11s 154600K .......... .......... .......... .......... .......... 58% 5.86M 11s 154650K .......... .......... .......... .......... .......... 58% 12.6M 11s 154700K .......... .......... .......... .......... .......... 58% 12.9M 11s 154750K .......... .......... .......... .......... .......... 58% 12.5M 11s 154800K .......... .......... .......... .......... .......... 58% 10.0M 11s 154850K .......... .......... .......... .......... .......... 58% 11.4M 11s 154900K .......... .......... .......... .......... .......... 58% 13.0M 11s 154950K .......... .......... .......... .......... .......... 58% 9.00M 11s 155000K .......... .......... .......... .......... .......... 58% 12.4M 11s 155050K .......... .......... .......... .......... .......... 58% 10.3M 11s 155100K .......... .......... .......... .......... .......... 58% 18.4M 11s 155150K .......... .......... .......... .......... .......... 58% 14.3M 11s 155200K .......... .......... .......... .......... .......... 58% 8.63M 11s 155250K .......... .......... .......... .......... .......... 58% 14.5M 11s 155300K .......... .......... .......... .......... .......... 58% 12.3M 11s 155350K .......... .......... .......... .......... .......... 59% 14.0M 11s 155400K .......... .......... .......... .......... .......... 59% 14.8M 11s 155450K .......... .......... .......... .......... .......... 59% 7.95M 11s 155500K .......... .......... .......... .......... .......... 59% 12.7M 11s 155550K .......... .......... .......... .......... .......... 59% 15.2M 11s 155600K .......... .......... .......... .......... .......... 59% 11.6M 11s 155650K .......... .......... .......... .......... .......... 59% 9.48M 11s 155700K .......... .......... .......... .......... .......... 59% 11.8M 11s 155750K .......... .......... .......... .......... .......... 59% 14.3M 11s 155800K .......... .......... .......... .......... .......... 59% 14.9M 11s 155850K .......... .......... .......... .......... .......... 59% 13.6M 11s 155900K .......... .......... .......... .......... .......... 59% 14.9M 11s 155950K .......... .......... .......... .......... .......... 59% 7.95M 11s 156000K .......... .......... .......... .......... .......... 59% 17.5M 11s 156050K .......... .......... .......... .......... .......... 59% 16.2M 11s 156100K .......... .......... .......... .......... .......... 59% 12.5M 11s 156150K .......... .......... .......... .......... .......... 59% 15.4M 11s 156200K .......... .......... .......... .......... .......... 59% 12.9M 11s 156250K .......... .......... .......... .......... .......... 59% 15.0M 11s 156300K .......... .......... .......... .......... .......... 59% 15.4M 11s 156350K .......... .......... .......... .......... .......... 59% 16.2M 11s 156400K .......... .......... .......... .......... .......... 59% 13.0M 11s 156450K .......... .......... .......... .......... .......... 59% 12.3M 11s 156500K .......... .......... .......... .......... .......... 59% 14.3M 11s 156550K .......... .......... .......... .......... .......... 59% 20.4M 11s 156600K .......... .......... .......... .......... .......... 59% 25.0M 11s 156650K .......... .......... .......... .......... .......... 59% 21.6M 11s 156700K .......... .......... .......... .......... .......... 59% 15.5M 11s 156750K .......... .......... .......... .......... .......... 59% 16.7M 11s 156800K .......... .......... .......... .......... .......... 59% 15.3M 11s 156850K .......... .......... .......... .......... .......... 59% 11.1M 11s 156900K .......... .......... .......... .......... .......... 59% 32.0M 11s 156950K .......... .......... .......... .......... .......... 59% 14.2M 11s 157000K .......... .......... .......... .......... .......... 59% 10.2M 11s 157050K .......... .......... .......... .......... .......... 59% 15.9M 11s 157100K .......... .......... .......... .......... .......... 59% 15.6M 11s 157150K .......... .......... .......... .......... .......... 59% 15.7M 11s 157200K .......... .......... .......... .......... .......... 59% 14.5M 11s 157250K .......... .......... .......... .......... .......... 59% 15.9M 11s 157300K .......... .......... .......... .......... .......... 59% 8.21M 11s 157350K .......... .......... .......... .......... .......... 59% 10.9M 11s 157400K .......... .......... .......... .......... .......... 59% 59.0M 11s 157450K .......... .......... .......... .......... .......... 59% 11.6M 11s 157500K .......... .......... .......... .......... .......... 59% 13.1M 11s 157550K .......... .......... .......... .......... .......... 59% 23.9M 11s 157600K .......... .......... .......... .......... .......... 59% 15.8M 11s 157650K .......... .......... .......... .......... .......... 59% 16.5M 11s 157700K .......... .......... .......... .......... .......... 59% 17.6M 11s 157750K .......... .......... .......... .......... .......... 59% 16.3M 11s 157800K .......... .......... .......... .......... .......... 59% 57.1M 11s 157850K .......... .......... .......... .......... .......... 59% 17.6M 11s 157900K .......... .......... .......... .......... .......... 59% 18.3M 11s 157950K .......... .......... .......... .......... .......... 59% 19.1M 11s 158000K .......... .......... .......... .......... .......... 60% 29.1M 11s 158050K .......... .......... .......... .......... .......... 60% 16.4M 11s 158100K .......... .......... .......... .......... .......... 60% 28.9M 11s 158150K .......... .......... .......... .......... .......... 60% 25.3M 11s 158200K .......... .......... .......... .......... .......... 60% 106M 11s 158250K .......... .......... .......... .......... .......... 60% 47.2M 11s 158300K .......... .......... .......... .......... .......... 60% 56.8M 11s 158350K .......... .......... .......... .......... .......... 60% 30.7M 11s 158400K .......... .......... .......... .......... .......... 60% 103M 11s 158450K .......... .......... .......... .......... .......... 60% 25.3M 11s 158500K .......... .......... .......... .......... .......... 60% 99.0M 11s 158550K .......... .......... .......... .......... .......... 60% 42.7M 11s 158600K .......... .......... .......... .......... .......... 60% 13.3M 11s 158650K .......... .......... .......... .......... .......... 60% 30.9M 11s 158700K .......... .......... .......... .......... .......... 60% 60.2M 11s 158750K .......... .......... .......... .......... .......... 60% 112M 10s 158800K .......... .......... .......... .......... .......... 60% 46.5M 10s 158850K .......... .......... .......... .......... .......... 60% 65.2M 10s 158900K .......... .......... .......... .......... .......... 60% 70.8M 10s 158950K .......... .......... .......... .......... .......... 60% 32.8M 10s 159000K .......... .......... .......... .......... .......... 60% 18.4M 10s 159050K .......... .......... .......... .......... .......... 60% 59.4M 10s 159100K .......... .......... .......... .......... .......... 60% 8.65M 10s 159150K .......... .......... .......... .......... .......... 60% 40.0M 10s 159200K .......... .......... .......... .......... .......... 60% 17.4M 10s 159250K .......... .......... .......... .......... .......... 60% 37.6M 10s 159300K .......... .......... .......... .......... .......... 60% 15.1M 10s 159350K .......... .......... .......... .......... .......... 60% 8.63M 10s 159400K .......... .......... .......... .......... .......... 60% 24.6M 10s 159450K .......... .......... .......... .......... .......... 60% 11.1M 10s 159500K .......... .......... .......... .......... .......... 60% 53.6M 10s 159550K .......... .......... .......... .......... .......... 60% 22.3M 10s 159600K .......... .......... .......... .......... .......... 60% 13.8M 10s 159650K .......... .......... .......... .......... .......... 60% 18.0M 10s 159700K .......... .......... .......... .......... .......... 60% 14.0M 10s 159750K .......... .......... .......... .......... .......... 60% 11.2M 10s 159800K .......... .......... .......... .......... .......... 60% 18.7M 10s 159850K .......... .......... .......... .......... .......... 60% 13.1M 10s 159900K .......... .......... .......... .......... .......... 60% 14.4M 10s 159950K .......... .......... .......... .......... .......... 60% 9.91M 10s 160000K .......... .......... .......... .......... .......... 60% 16.8M 10s 160050K .......... .......... .......... .......... .......... 60% 13.9M 10s 160100K .......... .......... .......... .......... .......... 60% 12.6M 10s 160150K .......... .......... .......... .......... .......... 60% 12.3M 10s 160200K .......... .......... .......... .......... .......... 60% 8.78M 10s 160250K .......... .......... .......... .......... .......... 60% 11.7M 10s 160300K .......... .......... .......... .......... .......... 60% 14.3M 10s 160350K .......... .......... .......... .......... .......... 60% 10.2M 10s 160400K .......... .......... .......... .......... .......... 60% 15.0M 10s 160450K .......... .......... .......... .......... .......... 60% 11.4M 10s 160500K .......... .......... .......... .......... .......... 60% 10.5M 10s 160550K .......... .......... .......... .......... .......... 60% 13.1M 10s 160600K .......... .......... .......... .......... .......... 60% 7.96M 10s 160650K .......... .......... .......... .......... .......... 61% 7.73M 10s 160700K .......... .......... .......... .......... .......... 61% 9.48M 10s 160750K .......... .......... .......... .......... .......... 61% 7.91M 10s 160800K .......... .......... .......... .......... .......... 61% 9.33M 10s 160850K .......... .......... .......... .......... .......... 61% 7.34M 10s 160900K .......... .......... .......... .......... .......... 61% 9.41M 10s 160950K .......... .......... .......... .......... .......... 61% 6.91M 10s 161000K .......... .......... .......... .......... .......... 61% 9.41M 10s 161050K .......... .......... .......... .......... .......... 61% 10.5M 10s 161100K .......... .......... .......... .......... .......... 61% 7.29M 10s 161150K .......... .......... .......... .......... .......... 61% 6.19M 10s 161200K .......... .......... .......... .......... .......... 61% 2.44M 10s 161250K .......... .......... .......... .......... .......... 61% 4.84M 10s 161300K .......... .......... .......... .......... .......... 61% 5.76M 10s 161350K .......... .......... .......... .......... .......... 61% 5.63M 10s 161400K .......... .......... .......... .......... .......... 61% 6.33M 10s 161450K .......... .......... .......... .......... .......... 61% 5.09M 10s 161500K .......... .......... .......... .......... .......... 61% 6.35M 10s 161550K .......... .......... .......... .......... .......... 61% 13.2M 10s 161600K .......... .......... .......... .......... .......... 61% 12.7M 10s 161650K .......... .......... .......... .......... .......... 61% 77.9M 10s 161700K .......... .......... .......... .......... .......... 61% 10.7M 10s 161750K .......... .......... .......... .......... .......... 61% 16.4M 10s 161800K .......... .......... .......... .......... .......... 61% 17.0M 10s 161850K .......... .......... .......... .......... .......... 61% 14.2M 10s 161900K .......... .......... .......... .......... .......... 61% 11.6M 10s 161950K .......... .......... .......... .......... .......... 61% 15.1M 10s 162000K .......... .......... .......... .......... .......... 61% 16.8M 10s 162050K .......... .......... .......... .......... .......... 61% 16.8M 10s 162100K .......... .......... .......... .......... .......... 61% 7.59M 10s 162150K .......... .......... .......... .......... .......... 61% 11.3M 10s 162200K .......... .......... .......... .......... .......... 61% 22.3M 10s 162250K .......... .......... .......... .......... .......... 61% 12.2M 10s 162300K .......... .......... .......... .......... .......... 61% 9.84M 10s 162350K .......... .......... .......... .......... .......... 61% 8.95M 10s 162400K .......... .......... .......... .......... .......... 61% 11.6M 10s 162450K .......... .......... .......... .......... .......... 61% 10.9M 10s 162500K .......... .......... .......... .......... .......... 61% 14.8M 10s 162550K .......... .......... .......... .......... .......... 61% 12.9M 10s 162600K .......... .......... .......... .......... .......... 61% 11.6M 10s 162650K .......... .......... .......... .......... .......... 61% 12.4M 10s 162700K .......... .......... .......... .......... .......... 61% 13.4M 10s 162750K .......... .......... .......... .......... .......... 61% 12.6M 10s 162800K .......... .......... .......... .......... .......... 61% 15.2M 10s 162850K .......... .......... .......... .......... .......... 61% 7.27M 10s 162900K .......... .......... .......... .......... .......... 61% 10.5M 10s 162950K .......... .......... .......... .......... .......... 61% 8.29M 10s 163000K .......... .......... .......... .......... .......... 61% 7.53M 10s 163050K .......... .......... .......... .......... .......... 61% 27.5M 10s 163100K .......... .......... .......... .......... .......... 61% 11.7M 10s 163150K .......... .......... .......... .......... .......... 61% 9.73M 10s 163200K .......... .......... .......... .......... .......... 61% 7.96M 10s 163250K .......... .......... .......... .......... .......... 62% 19.8M 10s 163300K .......... .......... .......... .......... .......... 62% 8.84M 10s 163350K .......... .......... .......... .......... .......... 62% 10.4M 10s 163400K .......... .......... .......... .......... .......... 62% 9.40M 10s 163450K .......... .......... .......... .......... .......... 62% 6.64M 10s 163500K .......... .......... .......... .......... .......... 62% 13.1M 10s 163550K .......... .......... .......... .......... .......... 62% 18.1M 10s 163600K .......... .......... .......... .......... .......... 62% 15.4M 10s 163650K .......... .......... .......... .......... .......... 62% 13.9M 10s 163700K .......... .......... .......... .......... .......... 62% 10.5M 10s 163750K .......... .......... .......... .......... .......... 62% 15.1M 10s 163800K .......... .......... .......... .......... .......... 62% 10.4M 10s 163850K .......... .......... .......... .......... .......... 62% 9.47M 10s 163900K .......... .......... .......... .......... .......... 62% 8.09M 10s 163950K .......... .......... .......... .......... .......... 62% 9.09M 10s 164000K .......... .......... .......... .......... .......... 62% 14.3M 10s 164050K .......... .......... .......... .......... .......... 62% 15.4M 10s 164100K .......... .......... .......... .......... .......... 62% 8.36M 10s 164150K .......... .......... .......... .......... .......... 62% 10.6M 10s 164200K .......... .......... .......... .......... .......... 62% 12.9M 10s 164250K .......... .......... .......... .......... .......... 62% 9.72M 10s 164300K .......... .......... .......... .......... .......... 62% 11.7M 10s 164350K .......... .......... .......... .......... .......... 62% 16.2M 10s 164400K .......... .......... .......... .......... .......... 62% 12.5M 10s 164450K .......... .......... .......... .......... .......... 62% 10.5M 10s 164500K .......... .......... .......... .......... .......... 62% 16.2M 10s 164550K .......... .......... .......... .......... .......... 62% 12.7M 10s 164600K .......... .......... .......... .......... .......... 62% 12.7M 10s 164650K .......... .......... .......... .......... .......... 62% 13.3M 10s 164700K .......... .......... .......... .......... .......... 62% 15.4M 10s 164750K .......... .......... .......... .......... .......... 62% 9.89M 10s 164800K .......... .......... .......... .......... .......... 62% 18.8M 10s 164850K .......... .......... .......... .......... .......... 62% 16.2M 10s 164900K .......... .......... .......... .......... .......... 62% 15.7M 10s 164950K .......... .......... .......... .......... .......... 62% 12.8M 10s 165000K .......... .......... .......... .......... .......... 62% 14.4M 10s 165050K .......... .......... .......... .......... .......... 62% 18.0M 10s 165100K .......... .......... .......... .......... .......... 62% 9.32M 10s 165150K .......... .......... .......... .......... .......... 62% 11.2M 10s 165200K .......... .......... .......... .......... .......... 62% 10.2M 10s 165250K .......... .......... .......... .......... .......... 62% 16.9M 10s 165300K .......... .......... .......... .......... .......... 62% 17.8M 10s 165350K .......... .......... .......... .......... .......... 62% 11.6M 10s 165400K .......... .......... .......... .......... .......... 62% 11.1M 10s 165450K .......... .......... .......... .......... .......... 62% 11.4M 10s 165500K .......... .......... .......... .......... .......... 62% 12.1M 10s 165550K .......... .......... .......... .......... .......... 62% 18.9M 10s 165600K .......... .......... .......... .......... .......... 62% 12.1M 10s 165650K .......... .......... .......... .......... .......... 62% 10.9M 10s 165700K .......... .......... .......... .......... .......... 62% 14.8M 10s 165750K .......... .......... .......... .......... .......... 62% 11.6M 10s 165800K .......... .......... .......... .......... .......... 62% 17.7M 10s 165850K .......... .......... .......... .......... .......... 62% 17.0M 10s 165900K .......... .......... .......... .......... .......... 63% 10.0M 10s 165950K .......... .......... .......... .......... .......... 63% 10.1M 10s 166000K .......... .......... .......... .......... .......... 63% 27.1M 10s 166050K .......... .......... .......... .......... .......... 63% 14.9M 10s 166100K .......... .......... .......... .......... .......... 63% 11.3M 10s 166150K .......... .......... .......... .......... .......... 63% 14.8M 10s 166200K .......... .......... .......... .......... .......... 63% 14.1M 10s 166250K .......... .......... .......... .......... .......... 63% 12.9M 10s 166300K .......... .......... .......... .......... .......... 63% 13.7M 10s 166350K .......... .......... .......... .......... .......... 63% 11.0M 10s 166400K .......... .......... .......... .......... .......... 63% 10.8M 10s 166450K .......... .......... .......... .......... .......... 63% 13.5M 10s 166500K .......... .......... .......... .......... .......... 63% 11.8M 10s 166550K .......... .......... .......... .......... .......... 63% 14.1M 10s 166600K .......... .......... .......... .......... .......... 63% 16.8M 10s 166650K .......... .......... .......... .......... .......... 63% 12.2M 10s 166700K .......... .......... .......... .......... .......... 63% 19.6M 10s 166750K .......... .......... .......... .......... .......... 63% 17.4M 10s 166800K .......... .......... .......... .......... .......... 63% 26.7M 10s 166850K .......... .......... .......... .......... .......... 63% 20.6M 10s 166900K .......... .......... .......... .......... .......... 63% 18.2M 10s 166950K .......... .......... .......... .......... .......... 63% 15.3M 10s 167000K .......... .......... .......... .......... .......... 63% 14.3M 10s 167050K .......... .......... .......... .......... .......... 63% 18.9M 10s 167100K .......... .......... .......... .......... .......... 63% 16.6M 10s 167150K .......... .......... .......... .......... .......... 63% 19.0M 10s 167200K .......... .......... .......... .......... .......... 63% 13.5M 10s 167250K .......... .......... .......... .......... .......... 63% 17.5M 10s 167300K .......... .......... .......... .......... .......... 63% 16.7M 10s 167350K .......... .......... .......... .......... .......... 63% 12.8M 10s 167400K .......... .......... .......... .......... .......... 63% 16.3M 10s 167450K .......... .......... .......... .......... .......... 63% 13.1M 10s 167500K .......... .......... .......... .......... .......... 63% 17.1M 10s 167550K .......... .......... .......... .......... .......... 63% 15.7M 10s 167600K .......... .......... .......... .......... .......... 63% 11.3M 10s 167650K .......... .......... .......... .......... .......... 63% 10.2M 10s 167700K .......... .......... .......... .......... .......... 63% 7.48M 10s 167750K .......... .......... .......... .......... .......... 63% 13.7M 9s 167800K .......... .......... .......... .......... .......... 63% 12.2M 9s 167850K .......... .......... .......... .......... .......... 63% 10.9M 9s 167900K .......... .......... .......... .......... .......... 63% 26.8M 9s 167950K .......... .......... .......... .......... .......... 63% 12.8M 9s 168000K .......... .......... .......... .......... .......... 63% 16.6M 9s 168050K .......... .......... .......... .......... .......... 63% 15.4M 9s 168100K .......... .......... .......... .......... .......... 63% 12.6M 9s 168150K .......... .......... .......... .......... .......... 63% 15.3M 9s 168200K .......... .......... .......... .......... .......... 63% 14.2M 9s 168250K .......... .......... .......... .......... .......... 63% 13.1M 9s 168300K .......... .......... .......... .......... .......... 63% 15.8M 9s 168350K .......... .......... .......... .......... .......... 63% 16.0M 9s 168400K .......... .......... .......... .......... .......... 63% 17.4M 9s 168450K .......... .......... .......... .......... .......... 63% 18.6M 9s 168500K .......... .......... .......... .......... .......... 63% 16.3M 9s 168550K .......... .......... .......... .......... .......... 64% 17.5M 9s 168600K .......... .......... .......... .......... .......... 64% 14.6M 9s 168650K .......... .......... .......... .......... .......... 64% 16.4M 9s 168700K .......... .......... .......... .......... .......... 64% 8.65M 9s 168750K .......... .......... .......... .......... .......... 64% 13.4M 9s 168800K .......... .......... .......... .......... .......... 64% 25.6M 9s 168850K .......... .......... .......... .......... .......... 64% 9.98M 9s 168900K .......... .......... .......... .......... .......... 64% 10.5M 9s 168950K .......... .......... .......... .......... .......... 64% 12.4M 9s 169000K .......... .......... .......... .......... .......... 64% 9.95M 9s 169050K .......... .......... .......... .......... .......... 64% 9.66M 9s 169100K .......... .......... .......... .......... .......... 64% 6.66M 9s 169150K .......... .......... .......... .......... .......... 64% 9.51M 9s 169200K .......... .......... .......... .......... .......... 64% 8.73M 9s 169250K .......... .......... .......... .......... .......... 64% 15.1M 9s 169300K .......... .......... .......... .......... .......... 64% 15.1M 9s 169350K .......... .......... .......... .......... .......... 64% 12.7M 9s 169400K .......... .......... .......... .......... .......... 64% 14.0M 9s 169450K .......... .......... .......... .......... .......... 64% 13.5M 9s 169500K .......... .......... .......... .......... .......... 64% 11.5M 9s 169550K .......... .......... .......... .......... .......... 64% 15.7M 9s 169600K .......... .......... .......... .......... .......... 64% 11.5M 9s 169650K .......... .......... .......... .......... .......... 64% 21.7M 9s 169700K .......... .......... .......... .......... .......... 64% 11.0M 9s 169750K .......... .......... .......... .......... .......... 64% 15.5M 9s 169800K .......... .......... .......... .......... .......... 64% 9.79M 9s 169850K .......... .......... .......... .......... .......... 64% 9.33M 9s 169900K .......... .......... .......... .......... .......... 64% 10.9M 9s 169950K .......... .......... .......... .......... .......... 64% 10.3M 9s 170000K .......... .......... .......... .......... .......... 64% 10.4M 9s 170050K .......... .......... .......... .......... .......... 64% 12.4M 9s 170100K .......... .......... .......... .......... .......... 64% 7.09M 9s 170150K .......... .......... .......... .......... .......... 64% 16.6M 9s 170200K .......... .......... .......... .......... .......... 64% 8.59M 9s 170250K .......... .......... .......... .......... .......... 64% 7.92M 9s 170300K .......... .......... .......... .......... .......... 64% 15.4M 9s 170350K .......... .......... .......... .......... .......... 64% 16.4M 9s 170400K .......... .......... .......... .......... .......... 64% 17.8M 9s 170450K .......... .......... .......... .......... .......... 64% 26.6M 9s 170500K .......... .......... .......... .......... .......... 64% 18.4M 9s 170550K .......... .......... .......... .......... .......... 64% 23.4M 9s 170600K .......... .......... .......... .......... .......... 64% 13.2M 9s 170650K .......... .......... .......... .......... .......... 64% 20.2M 9s 170700K .......... .......... .......... .......... .......... 64% 9.33M 9s 170750K .......... .......... .......... .......... .......... 64% 11.7M 9s 170800K .......... .......... .......... .......... .......... 64% 14.9M 9s 170850K .......... .......... .......... .......... .......... 64% 9.60M 9s 170900K .......... .......... .......... .......... .......... 64% 19.3M 9s 170950K .......... .......... .......... .......... .......... 64% 10.1M 9s 171000K .......... .......... .......... .......... .......... 64% 14.0M 9s 171050K .......... .......... .......... .......... .......... 64% 28.5M 9s 171100K .......... .......... .......... .......... .......... 64% 11.5M 9s 171150K .......... .......... .......... .......... .......... 65% 29.3M 9s 171200K .......... .......... .......... .......... .......... 65% 29.5M 9s 171250K .......... .......... .......... .......... .......... 65% 13.9M 9s 171300K .......... .......... .......... .......... .......... 65% 16.5M 9s 171350K .......... .......... .......... .......... .......... 65% 9.91M 9s 171400K .......... .......... .......... .......... .......... 65% 18.9M 9s 171450K .......... .......... .......... .......... .......... 65% 23.1M 9s 171500K .......... .......... .......... .......... .......... 65% 19.7M 9s 171550K .......... .......... .......... .......... .......... 65% 15.7M 9s 171600K .......... .......... .......... .......... .......... 65% 14.0M 9s 171650K .......... .......... .......... .......... .......... 65% 15.0M 9s 171700K .......... .......... .......... .......... .......... 65% 14.7M 9s 171750K .......... .......... .......... .......... .......... 65% 15.5M 9s 171800K .......... .......... .......... .......... .......... 65% 19.6M 9s 171850K .......... .......... .......... .......... .......... 65% 12.1M 9s 171900K .......... .......... .......... .......... .......... 65% 14.8M 9s 171950K .......... .......... .......... .......... .......... 65% 14.0M 9s 172000K .......... .......... .......... .......... .......... 65% 15.6M 9s 172050K .......... .......... .......... .......... .......... 65% 16.6M 9s 172100K .......... .......... .......... .......... .......... 65% 16.1M 9s 172150K .......... .......... .......... .......... .......... 65% 20.6M 9s 172200K .......... .......... .......... .......... .......... 65% 12.7M 9s 172250K .......... .......... .......... .......... .......... 65% 15.9M 9s 172300K .......... .......... .......... .......... .......... 65% 15.0M 9s 172350K .......... .......... .......... .......... .......... 65% 16.2M 9s 172400K .......... .......... .......... .......... .......... 65% 13.3M 9s 172450K .......... .......... .......... .......... .......... 65% 22.3M 9s 172500K .......... .......... .......... .......... .......... 65% 19.6M 9s 172550K .......... .......... .......... .......... .......... 65% 26.5M 9s 172600K .......... .......... .......... .......... .......... 65% 13.6M 9s 172650K .......... .......... .......... .......... .......... 65% 25.3M 9s 172700K .......... .......... .......... .......... .......... 65% 20.4M 9s 172750K .......... .......... .......... .......... .......... 65% 23.2M 9s 172800K .......... .......... .......... .......... .......... 65% 17.9M 9s 172850K .......... .......... .......... .......... .......... 65% 14.9M 9s 172900K .......... .......... .......... .......... .......... 65% 18.9M 9s 172950K .......... .......... .......... .......... .......... 65% 21.6M 9s 173000K .......... .......... .......... .......... .......... 65% 49.2M 9s 173050K .......... .......... .......... .......... .......... 65% 26.8M 9s 173100K .......... .......... .......... .......... .......... 65% 17.3M 9s 173150K .......... .......... .......... .......... .......... 65% 30.6M 9s 173200K .......... .......... .......... .......... .......... 65% 28.1M 9s 173250K .......... .......... .......... .......... .......... 65% 28.0M 9s 173300K .......... .......... .......... .......... .......... 65% 21.8M 9s 173350K .......... .......... .......... .......... .......... 65% 16.1M 9s 173400K .......... .......... .......... .......... .......... 65% 20.1M 9s 173450K .......... .......... .......... .......... .......... 65% 13.1M 9s 173500K .......... .......... .......... .......... .......... 65% 25.2M 9s 173550K .......... .......... .......... .......... .......... 65% 25.2M 9s 173600K .......... .......... .......... .......... .......... 65% 16.5M 9s 173650K .......... .......... .......... .......... .......... 65% 25.2M 9s 173700K .......... .......... .......... .......... .......... 65% 9.27M 9s 173750K .......... .......... .......... .......... .......... 65% 14.0M 9s 173800K .......... .......... .......... .......... .......... 66% 9.57M 9s 173850K .......... .......... .......... .......... .......... 66% 8.53M 9s 173900K .......... .......... .......... .......... .......... 66% 23.4M 9s 173950K .......... .......... .......... .......... .......... 66% 16.5M 9s 174000K .......... .......... .......... .......... .......... 66% 31.8M 9s 174050K .......... .......... .......... .......... .......... 66% 20.0M 9s 174100K .......... .......... .......... .......... .......... 66% 16.6M 9s 174150K .......... .......... .......... .......... .......... 66% 15.5M 9s 174200K .......... .......... .......... .......... .......... 66% 15.9M 9s 174250K .......... .......... .......... .......... .......... 66% 14.3M 9s 174300K .......... .......... .......... .......... .......... 66% 20.5M 9s 174350K .......... .......... .......... .......... .......... 66% 14.4M 9s 174400K .......... .......... .......... .......... .......... 66% 19.8M 9s 174450K .......... .......... .......... .......... .......... 66% 23.4M 9s 174500K .......... .......... .......... .......... .......... 66% 22.7M 9s 174550K .......... .......... .......... .......... .......... 66% 33.2M 9s 174600K .......... .......... .......... .......... .......... 66% 15.2M 9s 174650K .......... .......... .......... .......... .......... 66% 15.6M 9s 174700K .......... .......... .......... .......... .......... 66% 14.9M 9s 174750K .......... .......... .......... .......... .......... 66% 11.4M 9s 174800K .......... .......... .......... .......... .......... 66% 25.1M 9s 174850K .......... .......... .......... .......... .......... 66% 12.2M 9s 174900K .......... .......... .......... .......... .......... 66% 21.8M 9s 174950K .......... .......... .......... .......... .......... 66% 17.3M 9s 175000K .......... .......... .......... .......... .......... 66% 16.7M 9s 175050K .......... .......... .......... .......... .......... 66% 73.6M 9s 175100K .......... .......... .......... .......... .......... 66% 16.9M 9s 175150K .......... .......... .......... .......... .......... 66% 11.8M 9s 175200K .......... .......... .......... .......... .......... 66% 13.4M 9s 175250K .......... .......... .......... .......... .......... 66% 26.8M 9s 175300K .......... .......... .......... .......... .......... 66% 18.7M 9s 175350K .......... .......... .......... .......... .......... 66% 50.7M 9s 175400K .......... .......... .......... .......... .......... 66% 27.6M 9s 175450K .......... .......... .......... .......... .......... 66% 17.8M 9s 175500K .......... .......... .......... .......... .......... 66% 11.9M 9s 175550K .......... .......... .......... .......... .......... 66% 18.8M 9s 175600K .......... .......... .......... .......... .......... 66% 12.6M 9s 175650K .......... .......... .......... .......... .......... 66% 18.1M 9s 175700K .......... .......... .......... .......... .......... 66% 15.8M 9s 175750K .......... .......... .......... .......... .......... 66% 36.6M 9s 175800K .......... .......... .......... .......... .......... 66% 14.1M 9s 175850K .......... .......... .......... .......... .......... 66% 25.2M 9s 175900K .......... .......... .......... .......... .......... 66% 22.7M 9s 175950K .......... .......... .......... .......... .......... 66% 27.4M 9s 176000K .......... .......... .......... .......... .......... 66% 30.0M 9s 176050K .......... .......... .......... .......... .......... 66% 24.4M 9s 176100K .......... .......... .......... .......... .......... 66% 14.9M 9s 176150K .......... .......... .......... .......... .......... 66% 18.6M 9s 176200K .......... .......... .......... .......... .......... 66% 27.0M 9s 176250K .......... .......... .......... .......... .......... 66% 24.4M 9s 176300K .......... .......... .......... .......... .......... 66% 39.0M 8s 176350K .......... .......... .......... .......... .......... 66% 13.5M 8s 176400K .......... .......... .......... .......... .......... 66% 94.1M 8s 176450K .......... .......... .......... .......... .......... 67% 27.1M 8s 176500K .......... .......... .......... .......... .......... 67% 34.1M 8s 176550K .......... .......... .......... .......... .......... 67% 22.3M 8s 176600K .......... .......... .......... .......... .......... 67% 28.8M 8s 176650K .......... .......... .......... .......... .......... 67% 36.6M 8s 176700K .......... .......... .......... .......... .......... 67% 26.3M 8s 176750K .......... .......... .......... .......... .......... 67% 26.3M 8s 176800K .......... .......... .......... .......... .......... 67% 28.0M 8s 176850K .......... .......... .......... .......... .......... 67% 12.5M 8s 176900K .......... .......... .......... .......... .......... 67% 82.9M 8s 176950K .......... .......... .......... .......... .......... 67% 42.8M 8s 177000K .......... .......... .......... .......... .......... 67% 47.6M 8s 177050K .......... .......... .......... .......... .......... 67% 30.9M 8s 177100K .......... .......... .......... .......... .......... 67% 33.3M 8s 177150K .......... .......... .......... .......... .......... 67% 29.3M 8s 177200K .......... .......... .......... .......... .......... 67% 21.0M 8s 177250K .......... .......... .......... .......... .......... 67% 14.6M 8s 177300K .......... .......... .......... .......... .......... 67% 42.1M 8s 177350K .......... .......... .......... .......... .......... 67% 30.0M 8s 177400K .......... .......... .......... .......... .......... 67% 24.5M 8s 177450K .......... .......... .......... .......... .......... 67% 16.0M 8s 177500K .......... .......... .......... .......... .......... 67% 29.3M 8s 177550K .......... .......... .......... .......... .......... 67% 24.6M 8s 177600K .......... .......... .......... .......... .......... 67% 21.7M 8s 177650K .......... .......... .......... .......... .......... 67% 24.5M 8s 177700K .......... .......... .......... .......... .......... 67% 12.7M 8s 177750K .......... .......... .......... .......... .......... 67% 17.2M 8s 177800K .......... .......... .......... .......... .......... 67% 15.1M 8s 177850K .......... .......... .......... .......... .......... 67% 17.6M 8s 177900K .......... .......... .......... .......... .......... 67% 15.5M 8s 177950K .......... .......... .......... .......... .......... 67% 16.9M 8s 178000K .......... .......... .......... .......... .......... 67% 13.0M 8s 178050K .......... .......... .......... .......... .......... 67% 18.2M 8s 178100K .......... .......... .......... .......... .......... 67% 17.2M 8s 178150K .......... .......... .......... .......... .......... 67% 20.8M 8s 178200K .......... .......... .......... .......... .......... 67% 9.35M 8s 178250K .......... .......... .......... .......... .......... 67% 14.6M 8s 178300K .......... .......... .......... .......... .......... 67% 20.9M 8s 178350K .......... .......... .......... .......... .......... 67% 13.7M 8s 178400K .......... .......... .......... .......... .......... 67% 20.9M 8s 178450K .......... .......... .......... .......... .......... 67% 14.6M 8s 178500K .......... .......... .......... .......... .......... 67% 35.7M 8s 178550K .......... .......... .......... .......... .......... 67% 27.7M 8s 178600K .......... .......... .......... .......... .......... 67% 12.2M 8s 178650K .......... .......... .......... .......... .......... 67% 29.2M 8s 178700K .......... .......... .......... .......... .......... 67% 9.35M 8s 178750K .......... .......... .......... .......... .......... 67% 10.0M 8s 178800K .......... .......... .......... .......... .......... 67% 13.8M 8s 178850K .......... .......... .......... .......... .......... 67% 7.74M 8s 178900K .......... .......... .......... .......... .......... 67% 16.8M 8s 178950K .......... .......... .......... .......... .......... 67% 9.07M 8s 179000K .......... .......... .......... .......... .......... 67% 15.5M 8s 179050K .......... .......... .......... .......... .......... 68% 20.8M 8s 179100K .......... .......... .......... .......... .......... 68% 15.7M 8s 179150K .......... .......... .......... .......... .......... 68% 16.1M 8s 179200K .......... .......... .......... .......... .......... 68% 12.1M 8s 179250K .......... .......... .......... .......... .......... 68% 27.8M 8s 179300K .......... .......... .......... .......... .......... 68% 22.3M 8s 179350K .......... .......... .......... .......... .......... 68% 24.7M 8s 179400K .......... .......... .......... .......... .......... 68% 20.3M 8s 179450K .......... .......... .......... .......... .......... 68% 18.7M 8s 179500K .......... .......... .......... .......... .......... 68% 20.6M 8s 179550K .......... .......... .......... .......... .......... 68% 24.9M 8s 179600K .......... .......... .......... .......... .......... 68% 22.9M 8s 179650K .......... .......... .......... .......... .......... 68% 15.1M 8s 179700K .......... .......... .......... .......... .......... 68% 11.8M 8s 179750K .......... .......... .......... .......... .......... 68% 14.4M 8s 179800K .......... .......... .......... .......... .......... 68% 14.6M 8s 179850K .......... .......... .......... .......... .......... 68% 17.9M 8s 179900K .......... .......... .......... .......... .......... 68% 13.5M 8s 179950K .......... .......... .......... .......... .......... 68% 11.2M 8s 180000K .......... .......... .......... .......... .......... 68% 10.6M 8s 180050K .......... .......... .......... .......... .......... 68% 14.6M 8s 180100K .......... .......... .......... .......... .......... 68% 12.8M 8s 180150K .......... .......... .......... .......... .......... 68% 17.1M 8s 180200K .......... .......... .......... .......... .......... 68% 16.6M 8s 180250K .......... .......... .......... .......... .......... 68% 20.7M 8s 180300K .......... .......... .......... .......... .......... 68% 21.4M 8s 180350K .......... .......... .......... .......... .......... 68% 19.7M 8s 180400K .......... .......... .......... .......... .......... 68% 31.3M 8s 180450K .......... .......... .......... .......... .......... 68% 19.8M 8s 180500K .......... .......... .......... .......... .......... 68% 19.0M 8s 180550K .......... .......... .......... .......... .......... 68% 37.2M 8s 180600K .......... .......... .......... .......... .......... 68% 19.4M 8s 180650K .......... .......... .......... .......... .......... 68% 19.4M 8s 180700K .......... .......... .......... .......... .......... 68% 21.7M 8s 180750K .......... .......... .......... .......... .......... 68% 27.1M 8s 180800K .......... .......... .......... .......... .......... 68% 20.0M 8s 180850K .......... .......... .......... .......... .......... 68% 18.2M 8s 180900K .......... .......... .......... .......... .......... 68% 12.2M 8s 180950K .......... .......... .......... .......... .......... 68% 14.0M 8s 181000K .......... .......... .......... .......... .......... 68% 19.0M 8s 181050K .......... .......... .......... .......... .......... 68% 11.2M 8s 181100K .......... .......... .......... .......... .......... 68% 16.4M 8s 181150K .......... .......... .......... .......... .......... 68% 18.8M 8s 181200K .......... .......... .......... .......... .......... 68% 13.3M 8s 181250K .......... .......... .......... .......... .......... 68% 16.4M 8s 181300K .......... .......... .......... .......... .......... 68% 12.5M 8s 181350K .......... .......... .......... .......... .......... 68% 16.1M 8s 181400K .......... .......... .......... .......... .......... 68% 14.4M 8s 181450K .......... .......... .......... .......... .......... 68% 14.2M 8s 181500K .......... .......... .......... .......... .......... 68% 19.0M 8s 181550K .......... .......... .......... .......... .......... 68% 17.7M 8s 181600K .......... .......... .......... .......... .......... 68% 16.2M 8s 181650K .......... .......... .......... .......... .......... 68% 17.4M 8s 181700K .......... .......... .......... .......... .......... 69% 13.5M 8s 181750K .......... .......... .......... .......... .......... 69% 17.1M 8s 181800K .......... .......... .......... .......... .......... 69% 15.9M 8s 181850K .......... .......... .......... .......... .......... 69% 21.1M 8s 181900K .......... .......... .......... .......... .......... 69% 14.4M 8s 181950K .......... .......... .......... .......... .......... 69% 19.7M 8s 182000K .......... .......... .......... .......... .......... 69% 27.4M 8s 182050K .......... .......... .......... .......... .......... 69% 36.9M 8s 182100K .......... .......... .......... .......... .......... 69% 21.8M 8s 182150K .......... .......... .......... .......... .......... 69% 23.1M 8s 182200K .......... .......... .......... .......... .......... 69% 21.6M 8s 182250K .......... .......... .......... .......... .......... 69% 24.4M 8s 182300K .......... .......... .......... .......... .......... 69% 17.8M 8s 182350K .......... .......... .......... .......... .......... 69% 22.4M 8s 182400K .......... .......... .......... .......... .......... 69% 23.4M 8s 182450K .......... .......... .......... .......... .......... 69% 18.6M 8s 182500K .......... .......... .......... .......... .......... 69% 19.4M 8s 182550K .......... .......... .......... .......... .......... 69% 22.0M 8s 182600K .......... .......... .......... .......... .......... 69% 18.1M 8s 182650K .......... .......... .......... .......... .......... 69% 26.3M 8s 182700K .......... .......... .......... .......... .......... 69% 18.3M 8s 182750K .......... .......... .......... .......... .......... 69% 11.1M 8s 182800K .......... .......... .......... .......... .......... 69% 41.5M 8s 182850K .......... .......... .......... .......... .......... 69% 12.4M 8s 182900K .......... .......... .......... .......... .......... 69% 44.7M 8s 182950K .......... .......... .......... .......... .......... 69% 67.4M 8s 183000K .......... .......... .......... .......... .......... 69% 21.0M 8s 183050K .......... .......... .......... .......... .......... 69% 16.4M 8s 183100K .......... .......... .......... .......... .......... 69% 20.0M 8s 183150K .......... .......... .......... .......... .......... 69% 26.8M 8s 183200K .......... .......... .......... .......... .......... 69% 14.0M 8s 183250K .......... .......... .......... .......... .......... 69% 26.5M 8s 183300K .......... .......... .......... .......... .......... 69% 28.7M 8s 183350K .......... .......... .......... .......... .......... 69% 13.4M 8s 183400K .......... .......... .......... .......... .......... 69% 24.1M 8s 183450K .......... .......... .......... .......... .......... 69% 16.4M 8s 183500K .......... .......... .......... .......... .......... 69% 20.5M 8s 183550K .......... .......... .......... .......... .......... 69% 27.4M 8s 183600K .......... .......... .......... .......... .......... 69% 12.9M 8s 183650K .......... .......... .......... .......... .......... 69% 32.9M 8s 183700K .......... .......... .......... .......... .......... 69% 15.1M 8s 183750K .......... .......... .......... .......... .......... 69% 28.0M 8s 183800K .......... .......... .......... .......... .......... 69% 22.5M 8s 183850K .......... .......... .......... .......... .......... 69% 14.4M 8s 183900K .......... .......... .......... .......... .......... 69% 18.1M 8s 183950K .......... .......... .......... .......... .......... 69% 15.2M 8s 184000K .......... .......... .......... .......... .......... 69% 26.7M 8s 184050K .......... .......... .......... .......... .......... 69% 15.0M 8s 184100K .......... .......... .......... .......... .......... 69% 16.5M 8s 184150K .......... .......... .......... .......... .......... 69% 19.8M 8s 184200K .......... .......... .......... .......... .......... 69% 18.2M 8s 184250K .......... .......... .......... .......... .......... 69% 23.7M 8s 184300K .......... .......... .......... .......... .......... 69% 10.3M 8s 184350K .......... .......... .......... .......... .......... 70% 76.0M 8s 184400K .......... .......... .......... .......... .......... 70% 10.8M 8s 184450K .......... .......... .......... .......... .......... 70% 10.8M 8s 184500K .......... .......... .......... .......... .......... 70% 25.6M 8s 184550K .......... .......... .......... .......... .......... 70% 14.9M 8s 184600K .......... .......... .......... .......... .......... 70% 10.8M 8s 184650K .......... .......... .......... .......... .......... 70% 14.2M 8s 184700K .......... .......... .......... .......... .......... 70% 13.5M 8s 184750K .......... .......... .......... .......... .......... 70% 13.6M 8s 184800K .......... .......... .......... .......... .......... 70% 14.8M 8s 184850K .......... .......... .......... .......... .......... 70% 15.2M 8s 184900K .......... .......... .......... .......... .......... 70% 13.5M 7s 184950K .......... .......... .......... .......... .......... 70% 11.2M 7s 185000K .......... .......... .......... .......... .......... 70% 15.4M 7s 185050K .......... .......... .......... .......... .......... 70% 45.0M 7s 185100K .......... .......... .......... .......... .......... 70% 19.0M 7s 185150K .......... .......... .......... .......... .......... 70% 16.8M 7s 185200K .......... .......... .......... .......... .......... 70% 14.4M 7s 185250K .......... .......... .......... .......... .......... 70% 15.8M 7s 185300K .......... .......... .......... .......... .......... 70% 16.3M 7s 185350K .......... .......... .......... .......... .......... 70% 14.1M 7s 185400K .......... .......... .......... .......... .......... 70% 18.1M 7s 185450K .......... .......... .......... .......... .......... 70% 16.2M 7s 185500K .......... .......... .......... .......... .......... 70% 16.6M 7s 185550K .......... .......... .......... .......... .......... 70% 16.7M 7s 185600K .......... .......... .......... .......... .......... 70% 13.9M 7s 185650K .......... .......... .......... .......... .......... 70% 15.2M 7s 185700K .......... .......... .......... .......... .......... 70% 15.9M 7s 185750K .......... .......... .......... .......... .......... 70% 15.3M 7s 185800K .......... .......... .......... .......... .......... 70% 46.1M 7s 185850K .......... .......... .......... .......... .......... 70% 13.7M 7s 185900K .......... .......... .......... .......... .......... 70% 17.7M 7s 185950K .......... .......... .......... .......... .......... 70% 14.2M 7s 186000K .......... .......... .......... .......... .......... 70% 14.2M 7s 186050K .......... .......... .......... .......... .......... 70% 16.0M 7s 186100K .......... .......... .......... .......... .......... 70% 14.3M 7s 186150K .......... .......... .......... .......... .......... 70% 18.2M 7s 186200K .......... .......... .......... .......... .......... 70% 12.9M 7s 186250K .......... .......... .......... .......... .......... 70% 20.0M 7s 186300K .......... .......... .......... .......... .......... 70% 24.5M 7s 186350K .......... .......... .......... .......... .......... 70% 17.0M 7s 186400K .......... .......... .......... .......... .......... 70% 32.9M 7s 186450K .......... .......... .......... .......... .......... 70% 20.6M 7s 186500K .......... .......... .......... .......... .......... 70% 13.6M 7s 186550K .......... .......... .......... .......... .......... 70% 75.1M 7s 186600K .......... .......... .......... .......... .......... 70% 11.4M 7s 186650K .......... .......... .......... .......... .......... 70% 52.3M 7s 186700K .......... .......... .......... .......... .......... 70% 10.4M 7s 186750K .......... .......... .......... .......... .......... 70% 63.6M 7s 186800K .......... .......... .......... .......... .......... 70% 16.3M 7s 186850K .......... .......... .......... .......... .......... 70% 17.2M 7s 186900K .......... .......... .......... .......... .......... 70% 22.0M 7s 186950K .......... .......... .......... .......... .......... 71% 17.3M 7s 187000K .......... .......... .......... .......... .......... 71% 25.6M 7s 187050K .......... .......... .......... .......... .......... 71% 17.7M 7s 187100K .......... .......... .......... .......... .......... 71% 16.5M 7s 187150K .......... .......... .......... .......... .......... 71% 16.7M 7s 187200K .......... .......... .......... .......... .......... 71% 12.5M 7s 187250K .......... .......... .......... .......... .......... 71% 9.50M 7s 187300K .......... .......... .......... .......... .......... 71% 12.4M 7s 187350K .......... .......... .......... .......... .......... 71% 9.07M 7s 187400K .......... .......... .......... .......... .......... 71% 11.1M 7s 187450K .......... .......... .......... .......... .......... 71% 6.96M 7s 187500K .......... .......... .......... .......... .......... 71% 7.56M 7s 187550K .......... .......... .......... .......... .......... 71% 6.86M 7s 187600K .......... .......... .......... .......... .......... 71% 6.09M 7s 187650K .......... .......... .......... .......... .......... 71% 6.90M 7s 187700K .......... .......... .......... .......... .......... 71% 4.65M 7s 187750K .......... .......... .......... .......... .......... 71% 5.97M 7s 187800K .......... .......... .......... .......... .......... 71% 7.92M 7s 187850K .......... .......... .......... .......... .......... 71% 8.55M 7s 187900K .......... .......... .......... .......... .......... 71% 9.06M 7s 187950K .......... .......... .......... .......... .......... 71% 9.97M 7s 188000K .......... .......... .......... .......... .......... 71% 11.3M 7s 188050K .......... .......... .......... .......... .......... 71% 14.2M 7s 188100K .......... .......... .......... .......... .......... 71% 10.1M 7s 188150K .......... .......... .......... .......... .......... 71% 15.9M 7s 188200K .......... .......... .......... .......... .......... 71% 12.1M 7s 188250K .......... .......... .......... .......... .......... 71% 15.0M 7s 188300K .......... .......... .......... .......... .......... 71% 12.0M 7s 188350K .......... .......... .......... .......... .......... 71% 11.9M 7s 188400K .......... .......... .......... .......... .......... 71% 14.7M 7s 188450K .......... .......... .......... .......... .......... 71% 9.87M 7s 188500K .......... .......... .......... .......... .......... 71% 10.1M 7s 188550K .......... .......... .......... .......... .......... 71% 9.45M 7s 188600K .......... .......... .......... .......... .......... 71% 25.5M 7s 188650K .......... .......... .......... .......... .......... 71% 10.9M 7s 188700K .......... .......... .......... .......... .......... 71% 17.2M 7s 188750K .......... .......... .......... .......... .......... 71% 12.2M 7s 188800K .......... .......... .......... .......... .......... 71% 9.98M 7s 188850K .......... .......... .......... .......... .......... 71% 43.6M 7s 188900K .......... .......... .......... .......... .......... 71% 12.7M 7s 188950K .......... .......... .......... .......... .......... 71% 13.9M 7s 189000K .......... .......... .......... .......... .......... 71% 18.8M 7s 189050K .......... .......... .......... .......... .......... 71% 26.7M 7s 189100K .......... .......... .......... .......... .......... 71% 13.4M 7s 189150K .......... .......... .......... .......... .......... 71% 29.6M 7s 189200K .......... .......... .......... .......... .......... 71% 19.2M 7s 189250K .......... .......... .......... .......... .......... 71% 11.6M 7s 189300K .......... .......... .......... .......... .......... 71% 17.6M 7s 189350K .......... .......... .......... .......... .......... 71% 14.3M 7s 189400K .......... .......... .......... .......... .......... 71% 19.0M 7s 189450K .......... .......... .......... .......... .......... 71% 15.3M 7s 189500K .......... .......... .......... .......... .......... 71% 17.2M 7s 189550K .......... .......... .......... .......... .......... 71% 15.9M 7s 189600K .......... .......... .......... .......... .......... 72% 13.7M 7s 189650K .......... .......... .......... .......... .......... 72% 17.5M 7s 189700K .......... .......... .......... .......... .......... 72% 11.3M 7s 189750K .......... .......... .......... .......... .......... 72% 8.25M 7s 189800K .......... .......... .......... .......... .......... 72% 12.5M 7s 189850K .......... .......... .......... .......... .......... 72% 16.5M 7s 189900K .......... .......... .......... .......... .......... 72% 8.30M 7s 189950K .......... .......... .......... .......... .......... 72% 16.3M 7s 190000K .......... .......... .......... .......... .......... 72% 20.7M 7s 190050K .......... .......... .......... .......... .......... 72% 8.98M 7s 190100K .......... .......... .......... .......... .......... 72% 15.5M 7s 190150K .......... .......... .......... .......... .......... 72% 11.8M 7s 190200K .......... .......... .......... .......... .......... 72% 12.2M 7s 190250K .......... .......... .......... .......... .......... 72% 18.8M 7s 190300K .......... .......... .......... .......... .......... 72% 15.4M 7s 190350K .......... .......... .......... .......... .......... 72% 14.8M 7s 190400K .......... .......... .......... .......... .......... 72% 12.0M 7s 190450K .......... .......... .......... .......... .......... 72% 14.4M 7s 190500K .......... .......... .......... .......... .......... 72% 15.3M 7s 190550K .......... .......... .......... .......... .......... 72% 15.9M 7s 190600K .......... .......... .......... .......... .......... 72% 11.1M 7s 190650K .......... .......... .......... .......... .......... 72% 7.02M 7s 190700K .......... .......... .......... .......... .......... 72% 9.75M 7s 190750K .......... .......... .......... .......... .......... 72% 6.46M 7s 190800K .......... .......... .......... .......... .......... 72% 13.0M 7s 190850K .......... .......... .......... .......... .......... 72% 11.6M 7s 190900K .......... .......... .......... .......... .......... 72% 14.7M 7s 190950K .......... .......... .......... .......... .......... 72% 23.3M 7s 191000K .......... .......... .......... .......... .......... 72% 25.8M 7s 191050K .......... .......... .......... .......... .......... 72% 23.6M 7s 191100K .......... .......... .......... .......... .......... 72% 21.9M 7s 191150K .......... .......... .......... .......... .......... 72% 22.2M 7s 191200K .......... .......... .......... .......... .......... 72% 15.2M 7s 191250K .......... .......... .......... .......... .......... 72% 17.8M 7s 191300K .......... .......... .......... .......... .......... 72% 19.7M 7s 191350K .......... .......... .......... .......... .......... 72% 13.1M 7s 191400K .......... .......... .......... .......... .......... 72% 19.8M 7s 191450K .......... .......... .......... .......... .......... 72% 16.2M 7s 191500K .......... .......... .......... .......... .......... 72% 20.4M 7s 191550K .......... .......... .......... .......... .......... 72% 20.8M 7s 191600K .......... .......... .......... .......... .......... 72% 33.9M 7s 191650K .......... .......... .......... .......... .......... 72% 35.4M 7s 191700K .......... .......... .......... .......... .......... 72% 38.3M 7s 191750K .......... .......... .......... .......... .......... 72% 127M 7s 191800K .......... .......... .......... .......... .......... 72% 46.9M 7s 191850K .......... .......... .......... .......... .......... 72% 63.1M 7s 191900K .......... .......... .......... .......... .......... 72% 49.2M 7s 191950K .......... .......... .......... .......... .......... 72% 13.5M 7s 192000K .......... .......... .......... .......... .......... 72% 89.3M 7s 192050K .......... .......... .......... .......... .......... 72% 43.0M 7s 192100K .......... .......... .......... .......... .......... 72% 18.7M 7s 192150K .......... .......... .......... .......... .......... 72% 44.7M 7s 192200K .......... .......... .......... .......... .......... 72% 22.4M 7s 192250K .......... .......... .......... .......... .......... 73% 78.7M 7s 192300K .......... .......... .......... .......... .......... 73% 14.9M 7s 192350K .......... .......... .......... .......... .......... 73% 47.4M 7s 192400K .......... .......... .......... .......... .......... 73% 56.0M 7s 192450K .......... .......... .......... .......... .......... 73% 13.5M 7s 192500K .......... .......... .......... .......... .......... 73% 81.3M 7s 192550K .......... .......... .......... .......... .......... 73% 52.2M 7s 192600K .......... .......... .......... .......... .......... 73% 15.3M 7s 192650K .......... .......... .......... .......... .......... 73% 34.1M 7s 192700K .......... .......... .......... .......... .......... 73% 20.4M 7s 192750K .......... .......... .......... .......... .......... 73% 16.7M 7s 192800K .......... .......... .......... .......... .......... 73% 48.8M 7s 192850K .......... .......... .......... .......... .......... 73% 22.1M 7s 192900K .......... .......... .......... .......... .......... 73% 32.4M 7s 192950K .......... .......... .......... .......... .......... 73% 27.8M 7s 193000K .......... .......... .......... .......... .......... 73% 25.5M 7s 193050K .......... .......... .......... .......... .......... 73% 33.7M 7s 193100K .......... .......... .......... .......... .......... 73% 19.2M 7s 193150K .......... .......... .......... .......... .......... 73% 32.6M 7s 193200K .......... .......... .......... .......... .......... 73% 23.9M 7s 193250K .......... .......... .......... .......... .......... 73% 32.4M 7s 193300K .......... .......... .......... .......... .......... 73% 17.7M 7s 193350K .......... .......... .......... .......... .......... 73% 62.9M 7s 193400K .......... .......... .......... .......... .......... 73% 47.9M 7s 193450K .......... .......... .......... .......... .......... 73% 6.57M 7s 193500K .......... .......... .......... .......... .......... 73% 13.6M 7s 193550K .......... .......... .......... .......... .......... 73% 136M 7s 193600K .......... .......... .......... .......... .......... 73% 115M 7s 193650K .......... .......... .......... .......... .......... 73% 19.7M 7s 193700K .......... .......... .......... .......... .......... 73% 19.6M 7s 193750K .......... .......... .......... .......... .......... 73% 16.2M 7s 193800K .......... .......... .......... .......... .......... 73% 21.0M 7s 193850K .......... .......... .......... .......... .......... 73% 11.7M 7s 193900K .......... .......... .......... .......... .......... 73% 22.5M 7s 193950K .......... .......... .......... .......... .......... 73% 10.3M 7s 194000K .......... .......... .......... .......... .......... 73% 18.2M 7s 194050K .......... .......... .......... .......... .......... 73% 11.1M 7s 194100K .......... .......... .......... .......... .......... 73% 10.6M 7s 194150K .......... .......... .......... .......... .......... 73% 20.3M 7s 194200K .......... .......... .......... .......... .......... 73% 14.5M 7s 194250K .......... .......... .......... .......... .......... 73% 17.3M 6s 194300K .......... .......... .......... .......... .......... 73% 15.7M 6s 194350K .......... .......... .......... .......... .......... 73% 15.3M 6s 194400K .......... .......... .......... .......... .......... 73% 12.1M 6s 194450K .......... .......... .......... .......... .......... 73% 12.9M 6s 194500K .......... .......... .......... .......... .......... 73% 21.6M 6s 194550K .......... .......... .......... .......... .......... 73% 10.5M 6s 194600K .......... .......... .......... .......... .......... 73% 16.8M 6s 194650K .......... .......... .......... .......... .......... 73% 10.4M 6s 194700K .......... .......... .......... .......... .......... 73% 12.6M 6s 194750K .......... .......... .......... .......... .......... 73% 16.6M 6s 194800K .......... .......... .......... .......... .......... 73% 17.3M 6s 194850K .......... .......... .......... .......... .......... 74% 14.0M 6s 194900K .......... .......... .......... .......... .......... 74% 9.86M 6s 194950K .......... .......... .......... .......... .......... 74% 12.8M 6s 195000K .......... .......... .......... .......... .......... 74% 14.0M 6s 195050K .......... .......... .......... .......... .......... 74% 16.8M 6s 195100K .......... .......... .......... .......... .......... 74% 20.5M 6s 195150K .......... .......... .......... .......... .......... 74% 13.6M 6s 195200K .......... .......... .......... .......... .......... 74% 20.2M 6s 195250K .......... .......... .......... .......... .......... 74% 11.4M 6s 195300K .......... .......... .......... .......... .......... 74% 18.5M 6s 195350K .......... .......... .......... .......... .......... 74% 11.9M 6s 195400K .......... .......... .......... .......... .......... 74% 13.9M 6s 195450K .......... .......... .......... .......... .......... 74% 13.2M 6s 195500K .......... .......... .......... .......... .......... 74% 25.1M 6s 195550K .......... .......... .......... .......... .......... 74% 16.5M 6s 195600K .......... .......... .......... .......... .......... 74% 20.2M 6s 195650K .......... .......... .......... .......... .......... 74% 22.6M 6s 195700K .......... .......... .......... .......... .......... 74% 11.1M 6s 195750K .......... .......... .......... .......... .......... 74% 13.7M 6s 195800K .......... .......... .......... .......... .......... 74% 21.0M 6s 195850K .......... .......... .......... .......... .......... 74% 13.5M 6s 195900K .......... .......... .......... .......... .......... 74% 15.2M 6s 195950K .......... .......... .......... .......... .......... 74% 14.9M 6s 196000K .......... .......... .......... .......... .......... 74% 23.6M 6s 196050K .......... .......... .......... .......... .......... 74% 19.5M 6s 196100K .......... .......... .......... .......... .......... 74% 10.3M 6s 196150K .......... .......... .......... .......... .......... 74% 17.6M 6s 196200K .......... .......... .......... .......... .......... 74% 15.7M 6s 196250K .......... .......... .......... .......... .......... 74% 13.1M 6s 196300K .......... .......... .......... .......... .......... 74% 30.0M 6s 196350K .......... .......... .......... .......... .......... 74% 20.9M 6s 196400K .......... .......... .......... .......... .......... 74% 17.6M 6s 196450K .......... .......... .......... .......... .......... 74% 15.8M 6s 196500K .......... .......... .......... .......... .......... 74% 19.7M 6s 196550K .......... .......... .......... .......... .......... 74% 18.4M 6s 196600K .......... .......... .......... .......... .......... 74% 15.5M 6s 196650K .......... .......... .......... .......... .......... 74% 18.7M 6s 196700K .......... .......... .......... .......... .......... 74% 16.8M 6s 196750K .......... .......... .......... .......... .......... 74% 17.3M 6s 196800K .......... .......... .......... .......... .......... 74% 21.9M 6s 196850K .......... .......... .......... .......... .......... 74% 12.8M 6s 196900K .......... .......... .......... .......... .......... 74% 16.5M 6s 196950K .......... .......... .......... .......... .......... 74% 6.08M 6s 197000K .......... .......... .......... .......... .......... 74% 13.6M 6s 197050K .......... .......... .......... .......... .......... 74% 16.3M 6s 197100K .......... .......... .......... .......... .......... 74% 12.5M 6s 197150K .......... .......... .......... .......... .......... 74% 16.4M 6s 197200K .......... .......... .......... .......... .......... 74% 11.7M 6s 197250K .......... .......... .......... .......... .......... 74% 13.2M 6s 197300K .......... .......... .......... .......... .......... 74% 14.5M 6s 197350K .......... .......... .......... .......... .......... 74% 16.1M 6s 197400K .......... .......... .......... .......... .......... 74% 14.4M 6s 197450K .......... .......... .......... .......... .......... 74% 10.6M 6s 197500K .......... .......... .......... .......... .......... 75% 16.6M 6s 197550K .......... .......... .......... .......... .......... 75% 14.9M 6s 197600K .......... .......... .......... .......... .......... 75% 11.8M 6s 197650K .......... .......... .......... .......... .......... 75% 30.1M 6s 197700K .......... .......... .......... .......... .......... 75% 12.6M 6s 197750K .......... .......... .......... .......... .......... 75% 16.2M 6s 197800K .......... .......... .......... .......... .......... 75% 19.8M 6s 197850K .......... .......... .......... .......... .......... 75% 14.7M 6s 197900K .......... .......... .......... .......... .......... 75% 9.37M 6s 197950K .......... .......... .......... .......... .......... 75% 8.85M 6s 198000K .......... .......... .......... .......... .......... 75% 10.4M 6s 198050K .......... .......... .......... .......... .......... 75% 15.1M 6s 198100K .......... .......... .......... .......... .......... 75% 15.2M 6s 198150K .......... .......... .......... .......... .......... 75% 11.1M 6s 198200K .......... .......... .......... .......... .......... 75% 17.2M 6s 198250K .......... .......... .......... .......... .......... 75% 12.5M 6s 198300K .......... .......... .......... .......... .......... 75% 15.4M 6s 198350K .......... .......... .......... .......... .......... 75% 12.7M 6s 198400K .......... .......... .......... .......... .......... 75% 15.9M 6s 198450K .......... .......... .......... .......... .......... 75% 18.1M 6s 198500K .......... .......... .......... .......... .......... 75% 15.0M 6s 198550K .......... .......... .......... .......... .......... 75% 15.3M 6s 198600K .......... .......... .......... .......... .......... 75% 10.5M 6s 198650K .......... .......... .......... .......... .......... 75% 15.1M 6s 198700K .......... .......... .......... .......... .......... 75% 16.2M 6s 198750K .......... .......... .......... .......... .......... 75% 8.93M 6s 198800K .......... .......... .......... .......... .......... 75% 11.8M 6s 198850K .......... .......... .......... .......... .......... 75% 16.1M 6s 198900K .......... .......... .......... .......... .......... 75% 9.08M 6s 198950K .......... .......... .......... .......... .......... 75% 13.4M 6s 199000K .......... .......... .......... .......... .......... 75% 11.9M 6s 199050K .......... .......... .......... .......... .......... 75% 19.5M 6s 199100K .......... .......... .......... .......... .......... 75% 10.5M 6s 199150K .......... .......... .......... .......... .......... 75% 13.0M 6s 199200K .......... .......... .......... .......... .......... 75% 13.1M 6s 199250K .......... .......... .......... .......... .......... 75% 14.1M 6s 199300K .......... .......... .......... .......... .......... 75% 19.3M 6s 199350K .......... .......... .......... .......... .......... 75% 12.4M 6s 199400K .......... .......... .......... .......... .......... 75% 17.0M 6s 199450K .......... .......... .......... .......... .......... 75% 14.0M 6s 199500K .......... .......... .......... .......... .......... 75% 17.1M 6s 199550K .......... .......... .......... .......... .......... 75% 14.8M 6s 199600K .......... .......... .......... .......... .......... 75% 13.5M 6s 199650K .......... .......... .......... .......... .......... 75% 12.1M 6s 199700K .......... .......... .......... .......... .......... 75% 8.60M 6s 199750K .......... .......... .......... .......... .......... 75% 16.9M 6s 199800K .......... .......... .......... .......... .......... 75% 14.5M 6s 199850K .......... .......... .......... .......... .......... 75% 15.3M 6s 199900K .......... .......... .......... .......... .......... 75% 12.5M 6s 199950K .......... .......... .......... .......... .......... 75% 16.7M 6s 200000K .......... .......... .......... .......... .......... 75% 16.7M 6s 200050K .......... .......... .......... .......... .......... 75% 13.9M 6s 200100K .......... .......... .......... .......... .......... 75% 14.9M 6s 200150K .......... .......... .......... .......... .......... 76% 17.7M 6s 200200K .......... .......... .......... .......... .......... 76% 17.3M 6s 200250K .......... .......... .......... .......... .......... 76% 16.4M 6s 200300K .......... .......... .......... .......... .......... 76% 18.2M 6s 200350K .......... .......... .......... .......... .......... 76% 19.2M 6s 200400K .......... .......... .......... .......... .......... 76% 11.1M 6s 200450K .......... .......... .......... .......... .......... 76% 20.6M 6s 200500K .......... .......... .......... .......... .......... 76% 17.8M 6s 200550K .......... .......... .......... .......... .......... 76% 30.5M 6s 200600K .......... .......... .......... .......... .......... 76% 20.1M 6s 200650K .......... .......... .......... .......... .......... 76% 13.4M 6s 200700K .......... .......... .......... .......... .......... 76% 19.0M 6s 200750K .......... .......... .......... .......... .......... 76% 16.2M 6s 200800K .......... .......... .......... .......... .......... 76% 20.4M 6s 200850K .......... .......... .......... .......... .......... 76% 13.8M 6s 200900K .......... .......... .......... .......... .......... 76% 34.8M 6s 200950K .......... .......... .......... .......... .......... 76% 14.1M 6s 201000K .......... .......... .......... .......... .......... 76% 15.3M 6s 201050K .......... .......... .......... .......... .......... 76% 19.6M 6s 201100K .......... .......... .......... .......... .......... 76% 22.0M 6s 201150K .......... .......... .......... .......... .......... 76% 17.4M 6s 201200K .......... .......... .......... .......... .......... 76% 15.3M 6s 201250K .......... .......... .......... .......... .......... 76% 21.9M 6s 201300K .......... .......... .......... .......... .......... 76% 14.6M 6s 201350K .......... .......... .......... .......... .......... 76% 17.0M 6s 201400K .......... .......... .......... .......... .......... 76% 16.2M 6s 201450K .......... .......... .......... .......... .......... 76% 15.3M 6s 201500K .......... .......... .......... .......... .......... 76% 11.4M 6s 201550K .......... .......... .......... .......... .......... 76% 23.8M 6s 201600K .......... .......... .......... .......... .......... 76% 13.5M 6s 201650K .......... .......... .......... .......... .......... 76% 42.9M 6s 201700K .......... .......... .......... .......... .......... 76% 11.6M 6s 201750K .......... .......... .......... .......... .......... 76% 21.4M 6s 201800K .......... .......... .......... .......... .......... 76% 14.9M 6s 201850K .......... .......... .......... .......... .......... 76% 20.8M 6s 201900K .......... .......... .......... .......... .......... 76% 11.1M 6s 201950K .......... .......... .......... .......... .......... 76% 36.5M 6s 202000K .......... .......... .......... .......... .......... 76% 11.6M 6s 202050K .......... .......... .......... .......... .......... 76% 37.0M 6s 202100K .......... .......... .......... .......... .......... 76% 11.9M 6s 202150K .......... .......... .......... .......... .......... 76% 14.0M 6s 202200K .......... .......... .......... .......... .......... 76% 15.7M 6s 202250K .......... .......... .......... .......... .......... 76% 15.2M 6s 202300K .......... .......... .......... .......... .......... 76% 13.8M 6s 202350K .......... .......... .......... .......... .......... 76% 16.8M 6s 202400K .......... .......... .......... .......... .......... 76% 16.9M 6s 202450K .......... .......... .......... .......... .......... 76% 12.0M 6s 202500K .......... .......... .......... .......... .......... 76% 21.0M 6s 202550K .......... .......... .......... .......... .......... 76% 22.8M 6s 202600K .......... .......... .......... .......... .......... 76% 16.5M 6s 202650K .......... .......... .......... .......... .......... 76% 21.8M 6s 202700K .......... .......... .......... .......... .......... 76% 11.2M 6s 202750K .......... .......... .......... .......... .......... 77% 24.5M 6s 202800K .......... .......... .......... .......... .......... 77% 13.8M 6s 202850K .......... .......... .......... .......... .......... 77% 17.7M 6s 202900K .......... .......... .......... .......... .......... 77% 10.7M 6s 202950K .......... .......... .......... .......... .......... 77% 12.1M 6s 203000K .......... .......... .......... .......... .......... 77% 19.4M 6s 203050K .......... .......... .......... .......... .......... 77% 15.7M 6s 203100K .......... .......... .......... .......... .......... 77% 15.6M 6s 203150K .......... .......... .......... .......... .......... 77% 17.4M 6s 203200K .......... .......... .......... .......... .......... 77% 18.2M 6s 203250K .......... .......... .......... .......... .......... 77% 22.9M 6s 203300K .......... .......... .......... .......... .......... 77% 19.5M 6s 203350K .......... .......... .......... .......... .......... 77% 16.0M 6s 203400K .......... .......... .......... .......... .......... 77% 21.6M 6s 203450K .......... .......... .......... .......... .......... 77% 16.6M 6s 203500K .......... .......... .......... .......... .......... 77% 14.3M 6s 203550K .......... .......... .......... .......... .......... 77% 9.45M 6s 203600K .......... .......... .......... .......... .......... 77% 13.7M 6s 203650K .......... .......... .......... .......... .......... 77% 18.2M 6s 203700K .......... .......... .......... .......... .......... 77% 33.1M 6s 203750K .......... .......... .......... .......... .......... 77% 19.2M 6s 203800K .......... .......... .......... .......... .......... 77% 16.4M 6s 203850K .......... .......... .......... .......... .......... 77% 14.3M 6s 203900K .......... .......... .......... .......... .......... 77% 16.3M 6s 203950K .......... .......... .......... .......... .......... 77% 18.7M 6s 204000K .......... .......... .......... .......... .......... 77% 26.8M 5s 204050K .......... .......... .......... .......... .......... 77% 16.0M 5s 204100K .......... .......... .......... .......... .......... 77% 14.1M 5s 204150K .......... .......... .......... .......... .......... 77% 18.7M 5s 204200K .......... .......... .......... .......... .......... 77% 11.6M 5s 204250K .......... .......... .......... .......... .......... 77% 18.4M 5s 204300K .......... .......... .......... .......... .......... 77% 19.2M 5s 204350K .......... .......... .......... .......... .......... 77% 18.9M 5s 204400K .......... .......... .......... .......... .......... 77% 15.4M 5s 204450K .......... .......... .......... .......... .......... 77% 18.8M 5s 204500K .......... .......... .......... .......... .......... 77% 15.2M 5s 204550K .......... .......... .......... .......... .......... 77% 19.3M 5s 204600K .......... .......... .......... .......... .......... 77% 14.4M 5s 204650K .......... .......... .......... .......... .......... 77% 7.95M 5s 204700K .......... .......... .......... .......... .......... 77% 13.9M 5s 204750K .......... .......... .......... .......... .......... 77% 23.9M 5s 204800K .......... .......... .......... .......... .......... 77% 14.4M 5s 204850K .......... .......... .......... .......... .......... 77% 14.1M 5s 204900K .......... .......... .......... .......... .......... 77% 22.0M 5s 204950K .......... .......... .......... .......... .......... 77% 10.2M 5s 205000K .......... .......... .......... .......... .......... 77% 14.3M 5s 205050K .......... .......... .......... .......... .......... 77% 15.3M 5s 205100K .......... .......... .......... .......... .......... 77% 18.3M 5s 205150K .......... .......... .......... .......... .......... 77% 14.1M 5s 205200K .......... .......... .......... .......... .......... 77% 11.4M 5s 205250K .......... .......... .......... .......... .......... 77% 13.4M 5s 205300K .......... .......... .......... .......... .......... 77% 10.9M 5s 205350K .......... .......... .......... .......... .......... 77% 17.3M 5s 205400K .......... .......... .......... .......... .......... 78% 33.7M 5s 205450K .......... .......... .......... .......... .......... 78% 23.7M 5s 205500K .......... .......... .......... .......... .......... 78% 26.5M 5s 205550K .......... .......... .......... .......... .......... 78% 29.3M 5s 205600K .......... .......... .......... .......... .......... 78% 21.6M 5s 205650K .......... .......... .......... .......... .......... 78% 58.1M 5s 205700K .......... .......... .......... .......... .......... 78% 31.6M 5s 205750K .......... .......... .......... .......... .......... 78% 27.6M 5s 205800K .......... .......... .......... .......... .......... 78% 23.3M 5s 205850K .......... .......... .......... .......... .......... 78% 29.5M 5s 205900K .......... .......... .......... .......... .......... 78% 25.6M 5s 205950K .......... .......... .......... .......... .......... 78% 17.0M 5s 206000K .......... .......... .......... .......... .......... 78% 23.1M 5s 206050K .......... .......... .......... .......... .......... 78% 14.3M 5s 206100K .......... .......... .......... .......... .......... 78% 39.0M 5s 206150K .......... .......... .......... .......... .......... 78% 28.9M 5s 206200K .......... .......... .......... .......... .......... 78% 26.0M 5s 206250K .......... .......... .......... .......... .......... 78% 34.3M 5s 206300K .......... .......... .......... .......... .......... 78% 34.0M 5s 206350K .......... .......... .......... .......... .......... 78% 28.8M 5s 206400K .......... .......... .......... .......... .......... 78% 34.1M 5s 206450K .......... .......... .......... .......... .......... 78% 23.4M 5s 206500K .......... .......... .......... .......... .......... 78% 32.6M 5s 206550K .......... .......... .......... .......... .......... 78% 29.9M 5s 206600K .......... .......... .......... .......... .......... 78% 19.4M 5s 206650K .......... .......... .......... .......... .......... 78% 23.2M 5s 206700K .......... .......... .......... .......... .......... 78% 23.8M 5s 206750K .......... .......... .......... .......... .......... 78% 24.5M 5s 206800K .......... .......... .......... .......... .......... 78% 20.2M 5s 206850K .......... .......... .......... .......... .......... 78% 12.8M 5s 206900K .......... .......... .......... .......... .......... 78% 13.3M 5s 206950K .......... .......... .......... .......... .......... 78% 12.1M 5s 207000K .......... .......... .......... .......... .......... 78% 12.1M 5s 207050K .......... .......... .......... .......... .......... 78% 26.5M 5s 207100K .......... .......... .......... .......... .......... 78% 11.5M 5s 207150K .......... .......... .......... .......... .......... 78% 16.2M 5s 207200K .......... .......... .......... .......... .......... 78% 6.79M 5s 207250K .......... .......... .......... .......... .......... 78% 62.0M 5s 207300K .......... .......... .......... .......... .......... 78% 11.6M 5s 207350K .......... .......... .......... .......... .......... 78% 12.0M 5s 207400K .......... .......... .......... .......... .......... 78% 11.7M 5s 207450K .......... .......... .......... .......... .......... 78% 10.2M 5s 207500K .......... .......... .......... .......... .......... 78% 16.7M 5s 207550K .......... .......... .......... .......... .......... 78% 11.9M 5s 207600K .......... .......... .......... .......... .......... 78% 8.10M 5s 207650K .......... .......... .......... .......... .......... 78% 8.54M 5s 207700K .......... .......... .......... .......... .......... 78% 8.04M 5s 207750K .......... .......... .......... .......... .......... 78% 10.0M 5s 207800K .......... .......... .......... .......... .......... 78% 8.91M 5s 207850K .......... .......... .......... .......... .......... 78% 8.43M 5s 207900K .......... .......... .......... .......... .......... 78% 9.67M 5s 207950K .......... .......... .......... .......... .......... 78% 6.90M 5s 208000K .......... .......... .......... .......... .......... 78% 10.9M 5s 208050K .......... .......... .......... .......... .......... 79% 19.4M 5s 208100K .......... .......... .......... .......... .......... 79% 11.4M 5s 208150K .......... .......... .......... .......... .......... 79% 11.1M 5s 208200K .......... .......... .......... .......... .......... 79% 11.1M 5s 208250K .......... .......... .......... .......... .......... 79% 17.1M 5s 208300K .......... .......... .......... .......... .......... 79% 11.6M 5s 208350K .......... .......... .......... .......... .......... 79% 9.21M 5s 208400K .......... .......... .......... .......... .......... 79% 14.5M 5s 208450K .......... .......... .......... .......... .......... 79% 12.3M 5s 208500K .......... .......... .......... .......... .......... 79% 15.2M 5s 208550K .......... .......... .......... .......... .......... 79% 18.9M 5s 208600K .......... .......... .......... .......... .......... 79% 10.2M 5s 208650K .......... .......... .......... .......... .......... 79% 16.2M 5s 208700K .......... .......... .......... .......... .......... 79% 9.25M 5s 208750K .......... .......... .......... .......... .......... 79% 16.6M 5s 208800K .......... .......... .......... .......... .......... 79% 16.4M 5s 208850K .......... .......... .......... .......... .......... 79% 14.5M 5s 208900K .......... .......... .......... .......... .......... 79% 21.0M 5s 208950K .......... .......... .......... .......... .......... 79% 20.3M 5s 209000K .......... .......... .......... .......... .......... 79% 25.6M 5s 209050K .......... .......... .......... .......... .......... 79% 37.5M 5s 209100K .......... .......... .......... .......... .......... 79% 24.0M 5s 209150K .......... .......... .......... .......... .......... 79% 29.1M 5s 209200K .......... .......... .......... .......... .......... 79% 20.7M 5s 209250K .......... .......... .......... .......... .......... 79% 14.4M 5s 209300K .......... .......... .......... .......... .......... 79% 31.3M 5s 209350K .......... .......... .......... .......... .......... 79% 17.9M 5s 209400K .......... .......... .......... .......... .......... 79% 18.3M 5s 209450K .......... .......... .......... .......... .......... 79% 29.0M 5s 209500K .......... .......... .......... .......... .......... 79% 19.7M 5s 209550K .......... .......... .......... .......... .......... 79% 27.6M 5s 209600K .......... .......... .......... .......... .......... 79% 16.6M 5s 209650K .......... .......... .......... .......... .......... 79% 36.5M 5s 209700K .......... .......... .......... .......... .......... 79% 15.5M 5s 209750K .......... .......... .......... .......... .......... 79% 21.3M 5s 209800K .......... .......... .......... .......... .......... 79% 20.7M 5s 209850K .......... .......... .......... .......... .......... 79% 23.2M 5s 209900K .......... .......... .......... .......... .......... 79% 27.5M 5s 209950K .......... .......... .......... .......... .......... 79% 22.0M 5s 210000K .......... .......... .......... .......... .......... 79% 21.8M 5s 210050K .......... .......... .......... .......... .......... 79% 24.2M 5s 210100K .......... .......... .......... .......... .......... 79% 15.1M 5s 210150K .......... .......... .......... .......... .......... 79% 17.0M 5s 210200K .......... .......... .......... .......... .......... 79% 15.8M 5s 210250K .......... .......... .......... .......... .......... 79% 27.4M 5s 210300K .......... .......... .......... .......... .......... 79% 17.1M 5s 210350K .......... .......... .......... .......... .......... 79% 18.6M 5s 210400K .......... .......... .......... .......... .......... 79% 18.6M 5s 210450K .......... .......... .......... .......... .......... 79% 19.4M 5s 210500K .......... .......... .......... .......... .......... 79% 25.6M 5s 210550K .......... .......... .......... .......... .......... 79% 20.0M 5s 210600K .......... .......... .......... .......... .......... 79% 14.0M 5s 210650K .......... .......... .......... .......... .......... 80% 22.2M 5s 210700K .......... .......... .......... .......... .......... 80% 17.0M 5s 210750K .......... .......... .......... .......... .......... 80% 24.1M 5s 210800K .......... .......... .......... .......... .......... 80% 14.4M 5s 210850K .......... .......... .......... .......... .......... 80% 18.5M 5s 210900K .......... .......... .......... .......... .......... 80% 16.1M 5s 210950K .......... .......... .......... .......... .......... 80% 36.0M 5s 211000K .......... .......... .......... .......... .......... 80% 15.7M 5s 211050K .......... .......... .......... .......... .......... 80% 14.9M 5s 211100K .......... .......... .......... .......... .......... 80% 13.5M 5s 211150K .......... .......... .......... .......... .......... 80% 17.0M 5s 211200K .......... .......... .......... .......... .......... 80% 40.2M 5s 211250K .......... .......... .......... .......... .......... 80% 14.2M 5s 211300K .......... .......... .......... .......... .......... 80% 15.2M 5s 211350K .......... .......... .......... .......... .......... 80% 16.0M 5s 211400K .......... .......... .......... .......... .......... 80% 56.7M 5s 211450K .......... .......... .......... .......... .......... 80% 14.0M 5s 211500K .......... .......... .......... .......... .......... 80% 13.6M 5s 211550K .......... .......... .......... .......... .......... 80% 15.7M 5s 211600K .......... .......... .......... .......... .......... 80% 15.6M 5s 211650K .......... .......... .......... .......... .......... 80% 31.0M 5s 211700K .......... .......... .......... .......... .......... 80% 12.9M 5s 211750K .......... .......... .......... .......... .......... 80% 18.7M 5s 211800K .......... .......... .......... .......... .......... 80% 13.9M 5s 211850K .......... .......... .......... .......... .......... 80% 16.8M 5s 211900K .......... .......... .......... .......... .......... 80% 24.2M 5s 211950K .......... .......... .......... .......... .......... 80% 12.5M 5s 212000K .......... .......... .......... .......... .......... 80% 7.69M 5s 212050K .......... .......... .......... .......... .......... 80% 129M 5s 212100K .......... .......... .......... .......... .......... 80% 6.90M 5s 212150K .......... .......... .......... .......... .......... 80% 15.4M 5s 212200K .......... .......... .......... .......... .......... 80% 17.4M 5s 212250K .......... .......... .......... .......... .......... 80% 10.9M 5s 212300K .......... .......... .......... .......... .......... 80% 24.5M 5s 212350K .......... .......... .......... .......... .......... 80% 10.9M 5s 212400K .......... .......... .......... .......... .......... 80% 18.7M 5s 212450K .......... .......... .......... .......... .......... 80% 12.1M 5s 212500K .......... .......... .......... .......... .......... 80% 11.1M 5s 212550K .......... .......... .......... .......... .......... 80% 27.0M 5s 212600K .......... .......... .......... .......... .......... 80% 9.63M 5s 212650K .......... .......... .......... .......... .......... 80% 7.48M 5s 212700K .......... .......... .......... .......... .......... 80% 7.52M 5s 212750K .......... .......... .......... .......... .......... 80% 12.1M 5s 212800K .......... .......... .......... .......... .......... 80% 7.26M 5s 212850K .......... .......... .......... .......... .......... 80% 10.1M 5s 212900K .......... .......... .......... .......... .......... 80% 23.2M 5s 212950K .......... .......... .......... .......... .......... 80% 10.3M 5s 213000K .......... .......... .......... .......... .......... 80% 43.6M 5s 213050K .......... .......... .......... .......... .......... 80% 22.5M 5s 213100K .......... .......... .......... .......... .......... 80% 18.1M 5s 213150K .......... .......... .......... .......... .......... 80% 26.6M 5s 213200K .......... .......... .......... .......... .......... 80% 15.8M 5s 213250K .......... .......... .......... .......... .......... 80% 25.8M 5s 213300K .......... .......... .......... .......... .......... 81% 25.6M 5s 213350K .......... .......... .......... .......... .......... 81% 16.0M 5s 213400K .......... .......... .......... .......... .......... 81% 20.0M 5s 213450K .......... .......... .......... .......... .......... 81% 16.5M 5s 213500K .......... .......... .......... .......... .......... 81% 15.1M 5s 213550K .......... .......... .......... .......... .......... 81% 15.2M 5s 213600K .......... .......... .......... .......... .......... 81% 12.2M 5s 213650K .......... .......... .......... .......... .......... 81% 14.6M 5s 213700K .......... .......... .......... .......... .......... 81% 11.2M 5s 213750K .......... .......... .......... .......... .......... 81% 14.5M 5s 213800K .......... .......... .......... .......... .......... 81% 14.9M 5s 213850K .......... .......... .......... .......... .......... 81% 11.7M 5s 213900K .......... .......... .......... .......... .......... 81% 11.2M 5s 213950K .......... .......... .......... .......... .......... 81% 15.1M 5s 214000K .......... .......... .......... .......... .......... 81% 8.40M 4s 214050K .......... .......... .......... .......... .......... 81% 21.4M 4s 214100K .......... .......... .......... .......... .......... 81% 12.8M 4s 214150K .......... .......... .......... .......... .......... 81% 17.5M 4s 214200K .......... .......... .......... .......... .......... 81% 11.1M 4s 214250K .......... .......... .......... .......... .......... 81% 44.9M 4s 214300K .......... .......... .......... .......... .......... 81% 21.9M 4s 214350K .......... .......... .......... .......... .......... 81% 14.5M 4s 214400K .......... .......... .......... .......... .......... 81% 16.5M 4s 214450K .......... .......... .......... .......... .......... 81% 19.6M 4s 214500K .......... .......... .......... .......... .......... 81% 18.5M 4s 214550K .......... .......... .......... .......... .......... 81% 12.5M 4s 214600K .......... .......... .......... .......... .......... 81% 16.3M 4s 214650K .......... .......... .......... .......... .......... 81% 28.8M 4s 214700K .......... .......... .......... .......... .......... 81% 17.0M 4s 214750K .......... .......... .......... .......... .......... 81% 17.4M 4s 214800K .......... .......... .......... .......... .......... 81% 25.8M 4s 214850K .......... .......... .......... .......... .......... 81% 13.2M 4s 214900K .......... .......... .......... .......... .......... 81% 24.7M 4s 214950K .......... .......... .......... .......... .......... 81% 17.9M 4s 215000K .......... .......... .......... .......... .......... 81% 20.6M 4s 215050K .......... .......... .......... .......... .......... 81% 22.7M 4s 215100K .......... .......... .......... .......... .......... 81% 22.6M 4s 215150K .......... .......... .......... .......... .......... 81% 43.0M 4s 215200K .......... .......... .......... .......... .......... 81% 14.7M 4s 215250K .......... .......... .......... .......... .......... 81% 16.8M 4s 215300K .......... .......... .......... .......... .......... 81% 18.2M 4s 215350K .......... .......... .......... .......... .......... 81% 7.85M 4s 215400K .......... .......... .......... .......... .......... 81% 13.7M 4s 215450K .......... .......... .......... .......... .......... 81% 9.82M 4s 215500K .......... .......... .......... .......... .......... 81% 18.1M 4s 215550K .......... .......... .......... .......... .......... 81% 10.7M 4s 215600K .......... .......... .......... .......... .......... 81% 18.1M 4s 215650K .......... .......... .......... .......... .......... 81% 21.0M 4s 215700K .......... .......... .......... .......... .......... 81% 13.8M 4s 215750K .......... .......... .......... .......... .......... 81% 18.2M 4s 215800K .......... .......... .......... .......... .......... 81% 15.3M 4s 215850K .......... .......... .......... .......... .......... 81% 22.4M 4s 215900K .......... .......... .......... .......... .......... 81% 23.6M 4s 215950K .......... .......... .......... .......... .......... 82% 17.0M 4s 216000K .......... .......... .......... .......... .......... 82% 26.3M 4s 216050K .......... .......... .......... .......... .......... 82% 16.6M 4s 216100K .......... .......... .......... .......... .......... 82% 49.0M 4s 216150K .......... .......... .......... .......... .......... 82% 17.1M 4s 216200K .......... .......... .......... .......... .......... 82% 11.3M 4s 216250K .......... .......... .......... .......... .......... 82% 24.2M 4s 216300K .......... .......... .......... .......... .......... 82% 9.83M 4s 216350K .......... .......... .......... .......... .......... 82% 6.27M 4s 216400K .......... .......... .......... .......... .......... 82% 89.8M 4s 216450K .......... .......... .......... .......... .......... 82% 13.5M 4s 216500K .......... .......... .......... .......... .......... 82% 8.96M 4s 216550K .......... .......... .......... .......... .......... 82% 11.7M 4s 216600K .......... .......... .......... .......... .......... 82% 7.17M 4s 216650K .......... .......... .......... .......... .......... 82% 19.7M 4s 216700K .......... .......... .......... .......... .......... 82% 8.23M 4s 216750K .......... .......... .......... .......... .......... 82% 16.5M 4s 216800K .......... .......... .......... .......... .......... 82% 13.1M 4s 216850K .......... .......... .......... .......... .......... 82% 13.3M 4s 216900K .......... .......... .......... .......... .......... 82% 37.0M 4s 216950K .......... .......... .......... .......... .......... 82% 11.9M 4s 217000K .......... .......... .......... .......... .......... 82% 29.7M 4s 217050K .......... .......... .......... .......... .......... 82% 32.1M 4s 217100K .......... .......... .......... .......... .......... 82% 12.6M 4s 217150K .......... .......... .......... .......... .......... 82% 32.7M 4s 217200K .......... .......... .......... .......... .......... 82% 10.2M 4s 217250K .......... .......... .......... .......... .......... 82% 10.1M 4s 217300K .......... .......... .......... .......... .......... 82% 31.9M 4s 217350K .......... .......... .......... .......... .......... 82% 19.7M 4s 217400K .......... .......... .......... .......... .......... 82% 13.3M 4s 217450K .......... .......... .......... .......... .......... 82% 11.4M 4s 217500K .......... .......... .......... .......... .......... 82% 14.3M 4s 217550K .......... .......... .......... .......... .......... 82% 12.1M 4s 217600K .......... .......... .......... .......... .......... 82% 12.9M 4s 217650K .......... .......... .......... .......... .......... 82% 11.9M 4s 217700K .......... .......... .......... .......... .......... 82% 17.2M 4s 217750K .......... .......... .......... .......... .......... 82% 30.6M 4s 217800K .......... .......... .......... .......... .......... 82% 24.0M 4s 217850K .......... .......... .......... .......... .......... 82% 33.4M 4s 217900K .......... .......... .......... .......... .......... 82% 28.6M 4s 217950K .......... .......... .......... .......... .......... 82% 24.0M 4s 218000K .......... .......... .......... .......... .......... 82% 22.5M 4s 218050K .......... .......... .......... .......... .......... 82% 9.66M 4s 218100K .......... .......... .......... .......... .......... 82% 33.4M 4s 218150K .......... .......... .......... .......... .......... 82% 16.5M 4s 218200K .......... .......... .......... .......... .......... 82% 41.1M 4s 218250K .......... .......... .......... .......... .......... 82% 12.1M 4s 218300K .......... .......... .......... .......... .......... 82% 34.5M 4s 218350K .......... .......... .......... .......... .......... 82% 17.6M 4s 218400K .......... .......... .......... .......... .......... 82% 14.9M 4s 218450K .......... .......... .......... .......... .......... 82% 22.4M 4s 218500K .......... .......... .......... .......... .......... 82% 13.1M 4s 218550K .......... .......... .......... .......... .......... 83% 66.8M 4s 218600K .......... .......... .......... .......... .......... 83% 10.1M 4s 218650K .......... .......... .......... .......... .......... 83% 29.6M 4s 218700K .......... .......... .......... .......... .......... 83% 12.1M 4s 218750K .......... .......... .......... .......... .......... 83% 25.2M 4s 218800K .......... .......... .......... .......... .......... 83% 25.8M 4s 218850K .......... .......... .......... .......... .......... 83% 18.6M 4s 218900K .......... .......... .......... .......... .......... 83% 19.2M 4s 218950K .......... .......... .......... .......... .......... 83% 12.7M 4s 219000K .......... .......... .......... .......... .......... 83% 17.3M 4s 219050K .......... .......... .......... .......... .......... 83% 16.6M 4s 219100K .......... .......... .......... .......... .......... 83% 14.9M 4s 219150K .......... .......... .......... .......... .......... 83% 15.6M 4s 219200K .......... .......... .......... .......... .......... 83% 16.0M 4s 219250K .......... .......... .......... .......... .......... 83% 14.6M 4s 219300K .......... .......... .......... .......... .......... 83% 15.7M 4s 219350K .......... .......... .......... .......... .......... 83% 13.9M 4s 219400K .......... .......... .......... .......... .......... 83% 15.8M 4s 219450K .......... .......... .......... .......... .......... 83% 15.3M 4s 219500K .......... .......... .......... .......... .......... 83% 21.7M 4s 219550K .......... .......... .......... .......... .......... 83% 12.6M 4s 219600K .......... .......... .......... .......... .......... 83% 11.6M 4s 219650K .......... .......... .......... .......... .......... 83% 11.8M 4s 219700K .......... .......... .......... .......... .......... 83% 12.2M 4s 219750K .......... .......... .......... .......... .......... 83% 15.6M 4s 219800K .......... .......... .......... .......... .......... 83% 14.8M 4s 219850K .......... .......... .......... .......... .......... 83% 11.3M 4s 219900K .......... .......... .......... .......... .......... 83% 11.8M 4s 219950K .......... .......... .......... .......... .......... 83% 11.8M 4s 220000K .......... .......... .......... .......... .......... 83% 8.58M 4s 220050K .......... .......... .......... .......... .......... 83% 13.3M 4s 220100K .......... .......... .......... .......... .......... 83% 5.92M 4s 220150K .......... .......... .......... .......... .......... 83% 9.85M 4s 220200K .......... .......... .......... .......... .......... 83% 10.3M 4s 220250K .......... .......... .......... .......... .......... 83% 14.5M 4s 220300K .......... .......... .......... .......... .......... 83% 9.80M 4s 220350K .......... .......... .......... .......... .......... 83% 15.5M 4s 220400K .......... .......... .......... .......... .......... 83% 17.5M 4s 220450K .......... .......... .......... .......... .......... 83% 11.0M 4s 220500K .......... .......... .......... .......... .......... 83% 19.0M 4s 220550K .......... .......... .......... .......... .......... 83% 15.3M 4s 220600K .......... .......... .......... .......... .......... 83% 18.9M 4s 220650K .......... .......... .......... .......... .......... 83% 13.4M 4s 220700K .......... .......... .......... .......... .......... 83% 11.5M 4s 220750K .......... .......... .......... .......... .......... 83% 11.5M 4s 220800K .......... .......... .......... .......... .......... 83% 11.8M 4s 220850K .......... .......... .......... .......... .......... 83% 16.0M 4s 220900K .......... .......... .......... .......... .......... 83% 16.2M 4s 220950K .......... .......... .......... .......... .......... 83% 18.2M 4s 221000K .......... .......... .......... .......... .......... 83% 16.3M 4s 221050K .......... .......... .......... .......... .......... 83% 24.2M 4s 221100K .......... .......... .......... .......... .......... 83% 18.0M 4s 221150K .......... .......... .......... .......... .......... 83% 17.3M 4s 221200K .......... .......... .......... .......... .......... 84% 10.5M 4s 221250K .......... .......... .......... .......... .......... 84% 26.5M 4s 221300K .......... .......... .......... .......... .......... 84% 12.8M 4s 221350K .......... .......... .......... .......... .......... 84% 15.3M 4s 221400K .......... .......... .......... .......... .......... 84% 16.9M 4s 221450K .......... .......... .......... .......... .......... 84% 17.0M 4s 221500K .......... .......... .......... .......... .......... 84% 21.2M 4s 221550K .......... .......... .......... .......... .......... 84% 11.1M 4s 221600K .......... .......... .......... .......... .......... 84% 16.7M 4s 221650K .......... .......... .......... .......... .......... 84% 24.8M 4s 221700K .......... .......... .......... .......... .......... 84% 19.1M 4s 221750K .......... .......... .......... .......... .......... 84% 14.3M 4s 221800K .......... .......... .......... .......... .......... 84% 20.2M 4s 221850K .......... .......... .......... .......... .......... 84% 15.1M 4s 221900K .......... .......... .......... .......... .......... 84% 15.0M 4s 221950K .......... .......... .......... .......... .......... 84% 9.09M 4s 222000K .......... .......... .......... .......... .......... 84% 10.3M 4s 222050K .......... .......... .......... .......... .......... 84% 20.9M 4s 222100K .......... .......... .......... .......... .......... 84% 18.4M 4s 222150K .......... .......... .......... .......... .......... 84% 18.6M 4s 222200K .......... .......... .......... .......... .......... 84% 14.3M 4s 222250K .......... .......... .......... .......... .......... 84% 14.4M 4s 222300K .......... .......... .......... .......... .......... 84% 12.4M 4s 222350K .......... .......... .......... .......... .......... 84% 18.8M 4s 222400K .......... .......... .......... .......... .......... 84% 13.0M 4s 222450K .......... .......... .......... .......... .......... 84% 12.9M 4s 222500K .......... .......... .......... .......... .......... 84% 24.7M 4s 222550K .......... .......... .......... .......... .......... 84% 18.3M 4s 222600K .......... .......... .......... .......... .......... 84% 14.5M 4s 222650K .......... .......... .......... .......... .......... 84% 19.6M 4s 222700K .......... .......... .......... .......... .......... 84% 15.5M 4s 222750K .......... .......... .......... .......... .......... 84% 24.8M 4s 222800K .......... .......... .......... .......... .......... 84% 30.2M 4s 222850K .......... .......... .......... .......... .......... 84% 17.4M 4s 222900K .......... .......... .......... .......... .......... 84% 25.7M 4s 222950K .......... .......... .......... .......... .......... 84% 21.3M 4s 223000K .......... .......... .......... .......... .......... 84% 14.4M 4s 223050K .......... .......... .......... .......... .......... 84% 23.3M 4s 223100K .......... .......... .......... .......... .......... 84% 21.1M 4s 223150K .......... .......... .......... .......... .......... 84% 20.9M 4s 223200K .......... .......... .......... .......... .......... 84% 47.7M 4s 223250K .......... .......... .......... .......... .......... 84% 30.6M 4s 223300K .......... .......... .......... .......... .......... 84% 16.1M 4s 223350K .......... .......... .......... .......... .......... 84% 19.0M 4s 223400K .......... .......... .......... .......... .......... 84% 12.6M 4s 223450K .......... .......... .......... .......... .......... 84% 17.9M 4s 223500K .......... .......... .......... .......... .......... 84% 18.8M 4s 223550K .......... .......... .......... .......... .......... 84% 35.9M 4s 223600K .......... .......... .......... .......... .......... 84% 25.6M 4s 223650K .......... .......... .......... .......... .......... 84% 20.8M 4s 223700K .......... .......... .......... .......... .......... 84% 14.2M 4s 223750K .......... .......... .......... .......... .......... 84% 45.5M 4s 223800K .......... .......... .......... .......... .......... 84% 51.1M 4s 223850K .......... .......... .......... .......... .......... 85% 20.1M 4s 223900K .......... .......... .......... .......... .......... 85% 16.7M 4s 223950K .......... .......... .......... .......... .......... 85% 66.2M 4s 224000K .......... .......... .......... .......... .......... 85% 33.4M 4s 224050K .......... .......... .......... .......... .......... 85% 23.4M 4s 224100K .......... .......... .......... .......... .......... 85% 22.8M 4s 224150K .......... .......... .......... .......... .......... 85% 16.2M 4s 224200K .......... .......... .......... .......... .......... 85% 18.6M 4s 224250K .......... .......... .......... .......... .......... 85% 18.9M 4s 224300K .......... .......... .......... .......... .......... 85% 24.6M 4s 224350K .......... .......... .......... .......... .......... 85% 18.7M 4s 224400K .......... .......... .......... .......... .......... 85% 21.5M 3s 224450K .......... .......... .......... .......... .......... 85% 17.7M 3s 224500K .......... .......... .......... .......... .......... 85% 25.2M 3s 224550K .......... .......... .......... .......... .......... 85% 23.3M 3s 224600K .......... .......... .......... .......... .......... 85% 17.4M 3s 224650K .......... .......... .......... .......... .......... 85% 20.3M 3s 224700K .......... .......... .......... .......... .......... 85% 19.0M 3s 224750K .......... .......... .......... .......... .......... 85% 22.1M 3s 224800K .......... .......... .......... .......... .......... 85% 26.4M 3s 224850K .......... .......... .......... .......... .......... 85% 17.1M 3s 224900K .......... .......... .......... .......... .......... 85% 24.5M 3s 224950K .......... .......... .......... .......... .......... 85% 11.7M 3s 225000K .......... .......... .......... .......... .......... 85% 19.8M 3s 225050K .......... .......... .......... .......... .......... 85% 14.8M 3s 225100K .......... .......... .......... .......... .......... 85% 24.5M 3s 225150K .......... .......... .......... .......... .......... 85% 14.5M 3s 225200K .......... .......... .......... .......... .......... 85% 21.3M 3s 225250K .......... .......... .......... .......... .......... 85% 21.4M 3s 225300K .......... .......... .......... .......... .......... 85% 20.6M 3s 225350K .......... .......... .......... .......... .......... 85% 15.5M 3s 225400K .......... .......... .......... .......... .......... 85% 14.8M 3s 225450K .......... .......... .......... .......... .......... 85% 15.6M 3s 225500K .......... .......... .......... .......... .......... 85% 16.6M 3s 225550K .......... .......... .......... .......... .......... 85% 14.5M 3s 225600K .......... .......... .......... .......... .......... 85% 13.8M 3s 225650K .......... .......... .......... .......... .......... 85% 41.6M 3s 225700K .......... .......... .......... .......... .......... 85% 13.2M 3s 225750K .......... .......... .......... .......... .......... 85% 16.4M 3s 225800K .......... .......... .......... .......... .......... 85% 21.1M 3s 225850K .......... .......... .......... .......... .......... 85% 21.8M 3s 225900K .......... .......... .......... .......... .......... 85% 22.2M 3s 225950K .......... .......... .......... .......... .......... 85% 18.7M 3s 226000K .......... .......... .......... .......... .......... 85% 24.4M 3s 226050K .......... .......... .......... .......... .......... 85% 22.1M 3s 226100K .......... .......... .......... .......... .......... 85% 19.0M 3s 226150K .......... .......... .......... .......... .......... 85% 20.8M 3s 226200K .......... .......... .......... .......... .......... 85% 20.6M 3s 226250K .......... .......... .......... .......... .......... 85% 21.8M 3s 226300K .......... .......... .......... .......... .......... 85% 21.2M 3s 226350K .......... .......... .......... .......... .......... 85% 19.7M 3s 226400K .......... .......... .......... .......... .......... 85% 22.0M 3s 226450K .......... .......... .......... .......... .......... 85% 19.8M 3s 226500K .......... .......... .......... .......... .......... 86% 21.7M 3s 226550K .......... .......... .......... .......... .......... 86% 21.8M 3s 226600K .......... .......... .......... .......... .......... 86% 18.4M 3s 226650K .......... .......... .......... .......... .......... 86% 23.6M 3s 226700K .......... .......... .......... .......... .......... 86% 16.2M 3s 226750K .......... .......... .......... .......... .......... 86% 27.3M 3s 226800K .......... .......... .......... .......... .......... 86% 22.7M 3s 226850K .......... .......... .......... .......... .......... 86% 9.63M 3s 226900K .......... .......... .......... .......... .......... 86% 122M 3s 226950K .......... .......... .......... .......... .......... 86% 17.9M 3s 227000K .......... .......... .......... .......... .......... 86% 19.4M 3s 227050K .......... .......... .......... .......... .......... 86% 18.2M 3s 227100K .......... .......... .......... .......... .......... 86% 21.1M 3s 227150K .......... .......... .......... .......... .......... 86% 22.2M 3s 227200K .......... .......... .......... .......... .......... 86% 12.5M 3s 227250K .......... .......... .......... .......... .......... 86% 64.6M 3s 227300K .......... .......... .......... .......... .......... 86% 12.8M 3s 227350K .......... .......... .......... .......... .......... 86% 49.6M 3s 227400K .......... .......... .......... .......... .......... 86% 24.8M 3s 227450K .......... .......... .......... .......... .......... 86% 15.3M 3s 227500K .......... .......... .......... .......... .......... 86% 18.2M 3s 227550K .......... .......... .......... .......... .......... 86% 17.5M 3s 227600K .......... .......... .......... .......... .......... 86% 14.0M 3s 227650K .......... .......... .......... .......... .......... 86% 11.9M 3s 227700K .......... .......... .......... .......... .......... 86% 8.58M 3s 227750K .......... .......... .......... .......... .......... 86% 11.2M 3s 227800K .......... .......... .......... .......... .......... 86% 9.51M 3s 227850K .......... .......... .......... .......... .......... 86% 9.03M 3s 227900K .......... .......... .......... .......... .......... 86% 15.4M 3s 227950K .......... .......... .......... .......... .......... 86% 10.1M 3s 228000K .......... .......... .......... .......... .......... 86% 16.4M 3s 228050K .......... .......... .......... .......... .......... 86% 16.7M 3s 228100K .......... .......... .......... .......... .......... 86% 18.2M 3s 228150K .......... .......... .......... .......... .......... 86% 17.2M 3s 228200K .......... .......... .......... .......... .......... 86% 17.4M 3s 228250K .......... .......... .......... .......... .......... 86% 12.9M 3s 228300K .......... .......... .......... .......... .......... 86% 8.91M 3s 228350K .......... .......... .......... .......... .......... 86% 17.9M 3s 228400K .......... .......... .......... .......... .......... 86% 12.3M 3s 228450K .......... .......... .......... .......... .......... 86% 12.7M 3s 228500K .......... .......... .......... .......... .......... 86% 20.6M 3s 228550K .......... .......... .......... .......... .......... 86% 17.4M 3s 228600K .......... .......... .......... .......... .......... 86% 9.77M 3s 228650K .......... .......... .......... .......... .......... 86% 14.6M 3s 228700K .......... .......... .......... .......... .......... 86% 13.5M 3s 228750K .......... .......... .......... .......... .......... 86% 12.5M 3s 228800K .......... .......... .......... .......... .......... 86% 10.3M 3s 228850K .......... .......... .......... .......... .......... 86% 16.1M 3s 228900K .......... .......... .......... .......... .......... 86% 17.8M 3s 228950K .......... .......... .......... .......... .......... 86% 25.4M 3s 229000K .......... .......... .......... .......... .......... 86% 23.1M 3s 229050K .......... .......... .......... .......... .......... 86% 19.1M 3s 229100K .......... .......... .......... .......... .......... 87% 29.3M 3s 229150K .......... .......... .......... .......... .......... 87% 21.9M 3s 229200K .......... .......... .......... .......... .......... 87% 19.3M 3s 229250K .......... .......... .......... .......... .......... 87% 16.9M 3s 229300K .......... .......... .......... .......... .......... 87% 26.5M 3s 229350K .......... .......... .......... .......... .......... 87% 30.8M 3s 229400K .......... .......... .......... .......... .......... 87% 22.4M 3s 229450K .......... .......... .......... .......... .......... 87% 13.9M 3s 229500K .......... .......... .......... .......... .......... 87% 13.7M 3s 229550K .......... .......... .......... .......... .......... 87% 16.2M 3s 229600K .......... .......... .......... .......... .......... 87% 12.5M 3s 229650K .......... .......... .......... .......... .......... 87% 19.2M 3s 229700K .......... .......... .......... .......... .......... 87% 11.7M 3s 229750K .......... .......... .......... .......... .......... 87% 17.1M 3s 229800K .......... .......... .......... .......... .......... 87% 13.6M 3s 229850K .......... .......... .......... .......... .......... 87% 9.31M 3s 229900K .......... .......... .......... .......... .......... 87% 14.0M 3s 229950K .......... .......... .......... .......... .......... 87% 9.04M 3s 230000K .......... .......... .......... .......... .......... 87% 14.4M 3s 230050K .......... .......... .......... .......... .......... 87% 10.0M 3s 230100K .......... .......... .......... .......... .......... 87% 7.85M 3s 230150K .......... .......... .......... .......... .......... 87% 19.2M 3s 230200K .......... .......... .......... .......... .......... 87% 17.1M 3s 230250K .......... .......... .......... .......... .......... 87% 15.6M 3s 230300K .......... .......... .......... .......... .......... 87% 19.1M 3s 230350K .......... .......... .......... .......... .......... 87% 18.5M 3s 230400K .......... .......... .......... .......... .......... 87% 16.4M 3s 230450K .......... .......... .......... .......... .......... 87% 7.15M 3s 230500K .......... .......... .......... .......... .......... 87% 93.7M 3s 230550K .......... .......... .......... .......... .......... 87% 11.3M 3s 230600K .......... .......... .......... .......... .......... 87% 12.1M 3s 230650K .......... .......... .......... .......... .......... 87% 19.9M 3s 230700K .......... .......... .......... .......... .......... 87% 10.7M 3s 230750K .......... .......... .......... .......... .......... 87% 11.0M 3s 230800K .......... .......... .......... .......... .......... 87% 11.3M 3s 230850K .......... .......... .......... .......... .......... 87% 7.18M 3s 230900K .......... .......... .......... .......... .......... 87% 11.0M 3s 230950K .......... .......... .......... .......... .......... 87% 9.85M 3s 231000K .......... .......... .......... .......... .......... 87% 10.8M 3s 231050K .......... .......... .......... .......... .......... 87% 11.7M 3s 231100K .......... .......... .......... .......... .......... 87% 8.53M 3s 231150K .......... .......... .......... .......... .......... 87% 9.45M 3s 231200K .......... .......... .......... .......... .......... 87% 10.3M 3s 231250K .......... .......... .......... .......... .......... 87% 15.6M 3s 231300K .......... .......... .......... .......... .......... 87% 9.28M 3s 231350K .......... .......... .......... .......... .......... 87% 9.56M 3s 231400K .......... .......... .......... .......... .......... 87% 11.2M 3s 231450K .......... .......... .......... .......... .......... 87% 10.1M 3s 231500K .......... .......... .......... .......... .......... 87% 10.8M 3s 231550K .......... .......... .......... .......... .......... 87% 10.8M 3s 231600K .......... .......... .......... .......... .......... 87% 8.76M 3s 231650K .......... .......... .......... .......... .......... 87% 6.42M 3s 231700K .......... .......... .......... .......... .......... 87% 5.79M 3s 231750K .......... .......... .......... .......... .......... 88% 21.3M 3s 231800K .......... .......... .......... .......... .......... 88% 13.1M 3s 231850K .......... .......... .......... .......... .......... 88% 14.1M 3s 231900K .......... .......... .......... .......... .......... 88% 23.5M 3s 231950K .......... .......... .......... .......... .......... 88% 9.28M 3s 232000K .......... .......... .......... .......... .......... 88% 9.95M 3s 232050K .......... .......... .......... .......... .......... 88% 13.2M 3s 232100K .......... .......... .......... .......... .......... 88% 13.4M 3s 232150K .......... .......... .......... .......... .......... 88% 13.5M 3s 232200K .......... .......... .......... .......... .......... 88% 9.86M 3s 232250K .......... .......... .......... .......... .......... 88% 12.9M 3s 232300K .......... .......... .......... .......... .......... 88% 12.8M 3s 232350K .......... .......... .......... .......... .......... 88% 7.55M 3s 232400K .......... .......... .......... .......... .......... 88% 8.66M 3s 232450K .......... .......... .......... .......... .......... 88% 10.7M 3s 232500K .......... .......... .......... .......... .......... 88% 17.8M 3s 232550K .......... .......... .......... .......... .......... 88% 14.5M 3s 232600K .......... .......... .......... .......... .......... 88% 10.6M 3s 232650K .......... .......... .......... .......... .......... 88% 12.9M 3s 232700K .......... .......... .......... .......... .......... 88% 9.39M 3s 232750K .......... .......... .......... .......... .......... 88% 12.1M 3s 232800K .......... .......... .......... .......... .......... 88% 9.56M 3s 232850K .......... .......... .......... .......... .......... 88% 16.5M 3s 232900K .......... .......... .......... .......... .......... 88% 7.77M 3s 232950K .......... .......... .......... .......... .......... 88% 11.4M 3s 233000K .......... .......... .......... .......... .......... 88% 17.4M 3s 233050K .......... .......... .......... .......... .......... 88% 12.9M 3s 233100K .......... .......... .......... .......... .......... 88% 8.27M 3s 233150K .......... .......... .......... .......... .......... 88% 12.1M 3s 233200K .......... .......... .......... .......... .......... 88% 13.4M 3s 233250K .......... .......... .......... .......... .......... 88% 17.2M 3s 233300K .......... .......... .......... .......... .......... 88% 18.2M 3s 233350K .......... .......... .......... .......... .......... 88% 11.7M 3s 233400K .......... .......... .......... .......... .......... 88% 15.9M 3s 233450K .......... .......... .......... .......... .......... 88% 15.1M 3s 233500K .......... .......... .......... .......... .......... 88% 10.4M 3s 233550K .......... .......... .......... .......... .......... 88% 41.8M 3s 233600K .......... .......... .......... .......... .......... 88% 14.4M 3s 233650K .......... .......... .......... .......... .......... 88% 15.1M 3s 233700K .......... .......... .......... .......... .......... 88% 54.2M 3s 233750K .......... .......... .......... .......... .......... 88% 16.0M 3s 233800K .......... .......... .......... .......... .......... 88% 58.8M 3s 233850K .......... .......... .......... .......... .......... 88% 46.3M 3s 233900K .......... .......... .......... .......... .......... 88% 33.6M 3s 233950K .......... .......... .......... .......... .......... 88% 18.5M 3s 234000K .......... .......... .......... .......... .......... 88% 103M 3s 234050K .......... .......... .......... .......... .......... 88% 31.1M 3s 234100K .......... .......... .......... .......... .......... 88% 17.9M 3s 234150K .......... .......... .......... .......... .......... 88% 43.0M 3s 234200K .......... .......... .......... .......... .......... 88% 38.8M 3s 234250K .......... .......... .......... .......... .......... 88% 14.2M 3s 234300K .......... .......... .......... .......... .......... 88% 32.7M 3s 234350K .......... .......... .......... .......... .......... 88% 16.9M 3s 234400K .......... .......... .......... .......... .......... 89% 61.8M 3s 234450K .......... .......... .......... .......... .......... 89% 18.8M 3s 234500K .......... .......... .......... .......... .......... 89% 29.0M 3s 234550K .......... .......... .......... .......... .......... 89% 18.5M 3s 234600K .......... .......... .......... .......... .......... 89% 13.9M 3s 234650K .......... .......... .......... .......... .......... 89% 19.5M 3s 234700K .......... .......... .......... .......... .......... 89% 12.5M 3s 234750K .......... .......... .......... .......... .......... 89% 15.0M 3s 234800K .......... .......... .......... .......... .......... 89% 24.8M 3s 234850K .......... .......... .......... .......... .......... 89% 17.6M 3s 234900K .......... .......... .......... .......... .......... 89% 20.7M 3s 234950K .......... .......... .......... .......... .......... 89% 23.0M 3s 235000K .......... .......... .......... .......... .......... 89% 16.3M 3s 235050K .......... .......... .......... .......... .......... 89% 20.2M 3s 235100K .......... .......... .......... .......... .......... 89% 10.2M 3s 235150K .......... .......... .......... .......... .......... 89% 12.5M 2s 235200K .......... .......... .......... .......... .......... 89% 10.6M 2s 235250K .......... .......... .......... .......... .......... 89% 14.2M 2s 235300K .......... .......... .......... .......... .......... 89% 17.7M 2s 235350K .......... .......... .......... .......... .......... 89% 16.1M 2s 235400K .......... .......... .......... .......... .......... 89% 16.0M 2s 235450K .......... .......... .......... .......... .......... 89% 15.4M 2s 235500K .......... .......... .......... .......... .......... 89% 19.6M 2s 235550K .......... .......... .......... .......... .......... 89% 19.5M 2s 235600K .......... .......... .......... .......... .......... 89% 14.8M 2s 235650K .......... .......... .......... .......... .......... 89% 21.1M 2s 235700K .......... .......... .......... .......... .......... 89% 7.46M 2s 235750K .......... .......... .......... .......... .......... 89% 8.44M 2s 235800K .......... .......... .......... .......... .......... 89% 5.84M 2s 235850K .......... .......... .......... .......... .......... 89% 9.72M 2s 235900K .......... .......... .......... .......... .......... 89% 14.5M 2s 235950K .......... .......... .......... .......... .......... 89% 21.0M 2s 236000K .......... .......... .......... .......... .......... 89% 15.2M 2s 236050K .......... .......... .......... .......... .......... 89% 13.0M 2s 236100K .......... .......... .......... .......... .......... 89% 16.6M 2s 236150K .......... .......... .......... .......... .......... 89% 21.6M 2s 236200K .......... .......... .......... .......... .......... 89% 21.3M 2s 236250K .......... .......... .......... .......... .......... 89% 15.8M 2s 236300K .......... .......... .......... .......... .......... 89% 21.6M 2s 236350K .......... .......... .......... .......... .......... 89% 15.1M 2s 236400K .......... .......... .......... .......... .......... 89% 18.8M 2s 236450K .......... .......... .......... .......... .......... 89% 20.3M 2s 236500K .......... .......... .......... .......... .......... 89% 18.2M 2s 236550K .......... .......... .......... .......... .......... 89% 15.6M 2s 236600K .......... .......... .......... .......... .......... 89% 19.5M 2s 236650K .......... .......... .......... .......... .......... 89% 19.7M 2s 236700K .......... .......... .......... .......... .......... 89% 11.2M 2s 236750K .......... .......... .......... .......... .......... 89% 14.1M 2s 236800K .......... .......... .......... .......... .......... 89% 14.6M 2s 236850K .......... .......... .......... .......... .......... 89% 7.01M 2s 236900K .......... .......... .......... .......... .......... 89% 31.1M 2s 236950K .......... .......... .......... .......... .......... 89% 25.4M 2s 237000K .......... .......... .......... .......... .......... 90% 17.3M 2s 237050K .......... .......... .......... .......... .......... 90% 12.8M 2s 237100K .......... .......... .......... .......... .......... 90% 19.6M 2s 237150K .......... .......... .......... .......... .......... 90% 11.2M 2s 237200K .......... .......... .......... .......... .......... 90% 9.40M 2s 237250K .......... .......... .......... .......... .......... 90% 16.4M 2s 237300K .......... .......... .......... .......... .......... 90% 14.7M 2s 237350K .......... .......... .......... .......... .......... 90% 23.0M 2s 237400K .......... .......... .......... .......... .......... 90% 12.1M 2s 237450K .......... .......... .......... .......... .......... 90% 14.2M 2s 237500K .......... .......... .......... .......... .......... 90% 13.7M 2s 237550K .......... .......... .......... .......... .......... 90% 17.0M 2s 237600K .......... .......... .......... .......... .......... 90% 16.0M 2s 237650K .......... .......... .......... .......... .......... 90% 21.3M 2s 237700K .......... .......... .......... .......... .......... 90% 17.0M 2s 237750K .......... .......... .......... .......... .......... 90% 21.8M 2s 237800K .......... .......... .......... .......... .......... 90% 23.0M 2s 237850K .......... .......... .......... .......... .......... 90% 18.5M 2s 237900K .......... .......... .......... .......... .......... 90% 21.0M 2s 237950K .......... .......... .......... .......... .......... 90% 15.9M 2s 238000K .......... .......... .......... .......... .......... 90% 22.3M 2s 238050K .......... .......... .......... .......... .......... 90% 18.3M 2s 238100K .......... .......... .......... .......... .......... 90% 16.6M 2s 238150K .......... .......... .......... .......... .......... 90% 14.3M 2s 238200K .......... .......... .......... .......... .......... 90% 8.82M 2s 238250K .......... .......... .......... .......... .......... 90% 10.7M 2s 238300K .......... .......... .......... .......... .......... 90% 19.3M 2s 238350K .......... .......... .......... .......... .......... 90% 13.7M 2s 238400K .......... .......... .......... .......... .......... 90% 13.2M 2s 238450K .......... .......... .......... .......... .......... 90% 7.60M 2s 238500K .......... .......... .......... .......... .......... 90% 36.5M 2s 238550K .......... .......... .......... .......... .......... 90% 10.2M 2s 238600K .......... .......... .......... .......... .......... 90% 11.1M 2s 238650K .......... .......... .......... .......... .......... 90% 14.6M 2s 238700K .......... .......... .......... .......... .......... 90% 10.7M 2s 238750K .......... .......... .......... .......... .......... 90% 12.3M 2s 238800K .......... .......... .......... .......... .......... 90% 13.3M 2s 238850K .......... .......... .......... .......... .......... 90% 15.5M 2s 238900K .......... .......... .......... .......... .......... 90% 15.9M 2s 238950K .......... .......... .......... .......... .......... 90% 12.4M 2s 239000K .......... .......... .......... .......... .......... 90% 13.1M 2s 239050K .......... .......... .......... .......... .......... 90% 19.0M 2s 239100K .......... .......... .......... .......... .......... 90% 15.1M 2s 239150K .......... .......... .......... .......... .......... 90% 22.5M 2s 239200K .......... .......... .......... .......... .......... 90% 17.4M 2s 239250K .......... .......... .......... .......... .......... 90% 21.2M 2s 239300K .......... .......... .......... .......... .......... 90% 18.4M 2s 239350K .......... .......... .......... .......... .......... 90% 17.1M 2s 239400K .......... .......... .......... .......... .......... 90% 20.1M 2s 239450K .......... .......... .......... .......... .......... 90% 12.5M 2s 239500K .......... .......... .......... .......... .......... 90% 24.4M 2s 239550K .......... .......... .......... .......... .......... 90% 12.5M 2s 239600K .......... .......... .......... .......... .......... 90% 16.1M 2s 239650K .......... .......... .......... .......... .......... 91% 11.9M 2s 239700K .......... .......... .......... .......... .......... 91% 10.0M 2s 239750K .......... .......... .......... .......... .......... 91% 11.0M 2s 239800K .......... .......... .......... .......... .......... 91% 9.18M 2s 239850K .......... .......... .......... .......... .......... 91% 10.7M 2s 239900K .......... .......... .......... .......... .......... 91% 19.2M 2s 239950K .......... .......... .......... .......... .......... 91% 12.6M 2s 240000K .......... .......... .......... .......... .......... 91% 23.2M 2s 240050K .......... .......... .......... .......... .......... 91% 15.5M 2s 240100K .......... .......... .......... .......... .......... 91% 16.9M 2s 240150K .......... .......... .......... .......... .......... 91% 23.5M 2s 240200K .......... .......... .......... .......... .......... 91% 14.3M 2s 240250K .......... .......... .......... .......... .......... 91% 17.9M 2s 240300K .......... .......... .......... .......... .......... 91% 20.1M 2s 240350K .......... .......... .......... .......... .......... 91% 12.9M 2s 240400K .......... .......... .......... .......... .......... 91% 14.3M 2s 240450K .......... .......... .......... .......... .......... 91% 22.7M 2s 240500K .......... .......... .......... .......... .......... 91% 22.6M 2s 240550K .......... .......... .......... .......... .......... 91% 27.3M 2s 240600K .......... .......... .......... .......... .......... 91% 17.3M 2s 240650K .......... .......... .......... .......... .......... 91% 18.3M 2s 240700K .......... .......... .......... .......... .......... 91% 16.9M 2s 240750K .......... .......... .......... .......... .......... 91% 18.4M 2s 240800K .......... .......... .......... .......... .......... 91% 19.9M 2s 240850K .......... .......... .......... .......... .......... 91% 16.5M 2s 240900K .......... .......... .......... .......... .......... 91% 26.0M 2s 240950K .......... .......... .......... .......... .......... 91% 17.2M 2s 241000K .......... .......... .......... .......... .......... 91% 19.6M 2s 241050K .......... .......... .......... .......... .......... 91% 17.5M 2s 241100K .......... .......... .......... .......... .......... 91% 17.1M 2s 241150K .......... .......... .......... .......... .......... 91% 14.7M 2s 241200K .......... .......... .......... .......... .......... 91% 52.6M 2s 241250K .......... .......... .......... .......... .......... 91% 21.3M 2s 241300K .......... .......... .......... .......... .......... 91% 19.5M 2s 241350K .......... .......... .......... .......... .......... 91% 20.6M 2s 241400K .......... .......... .......... .......... .......... 91% 19.8M 2s 241450K .......... .......... .......... .......... .......... 91% 22.0M 2s 241500K .......... .......... .......... .......... .......... 91% 22.4M 2s 241550K .......... .......... .......... .......... .......... 91% 17.2M 2s 241600K .......... .......... .......... .......... .......... 91% 28.8M 2s 241650K .......... .......... .......... .......... .......... 91% 12.3M 2s 241700K .......... .......... .......... .......... .......... 91% 26.0M 2s 241750K .......... .......... .......... .......... .......... 91% 11.9M 2s 241800K .......... .......... .......... .......... .......... 91% 33.5M 2s 241850K .......... .......... .......... .......... .......... 91% 8.11M 2s 241900K .......... .......... .......... .......... .......... 91% 9.25M 2s 241950K .......... .......... .......... .......... .......... 91% 10.6M 2s 242000K .......... .......... .......... .......... .......... 91% 11.8M 2s 242050K .......... .......... .......... .......... .......... 91% 20.5M 2s 242100K .......... .......... .......... .......... .......... 91% 10.5M 2s 242150K .......... .......... .......... .......... .......... 91% 14.9M 2s 242200K .......... .......... .......... .......... .......... 91% 10.1M 2s 242250K .......... .......... .......... .......... .......... 91% 13.3M 2s 242300K .......... .......... .......... .......... .......... 92% 18.1M 2s 242350K .......... .......... .......... .......... .......... 92% 8.96M 2s 242400K .......... .......... .......... .......... .......... 92% 14.0M 2s 242450K .......... .......... .......... .......... .......... 92% 15.9M 2s 242500K .......... .......... .......... .......... .......... 92% 15.0M 2s 242550K .......... .......... .......... .......... .......... 92% 25.4M 2s 242600K .......... .......... .......... .......... .......... 92% 12.3M 2s 242650K .......... .......... .......... .......... .......... 92% 15.5M 2s 242700K .......... .......... .......... .......... .......... 92% 9.23M 2s 242750K .......... .......... .......... .......... .......... 92% 13.5M 2s 242800K .......... .......... .......... .......... .......... 92% 20.4M 2s 242850K .......... .......... .......... .......... .......... 92% 11.0M 2s 242900K .......... .......... .......... .......... .......... 92% 11.6M 2s 242950K .......... .......... .......... .......... .......... 92% 11.5M 2s 243000K .......... .......... .......... .......... .......... 92% 12.2M 2s 243050K .......... .......... .......... .......... .......... 92% 19.1M 2s 243100K .......... .......... .......... .......... .......... 92% 11.9M 2s 243150K .......... .......... .......... .......... .......... 92% 17.4M 2s 243200K .......... .......... .......... .......... .......... 92% 16.6M 2s 243250K .......... .......... .......... .......... .......... 92% 19.2M 2s 243300K .......... .......... .......... .......... .......... 92% 12.8M 2s 243350K .......... .......... .......... .......... .......... 92% 14.8M 2s 243400K .......... .......... .......... .......... .......... 92% 16.6M 2s 243450K .......... .......... .......... .......... .......... 92% 18.8M 2s 243500K .......... .......... .......... .......... .......... 92% 12.3M 2s 243550K .......... .......... .......... .......... .......... 92% 18.9M 2s 243600K .......... .......... .......... .......... .......... 92% 15.2M 2s 243650K .......... .......... .......... .......... .......... 92% 22.5M 2s 243700K .......... .......... .......... .......... .......... 92% 12.7M 2s 243750K .......... .......... .......... .......... .......... 92% 13.1M 2s 243800K .......... .......... .......... .......... .......... 92% 13.0M 2s 243850K .......... .......... .......... .......... .......... 92% 10.9M 2s 243900K .......... .......... .......... .......... .......... 92% 18.6M 2s 243950K .......... .......... .......... .......... .......... 92% 11.2M 2s 244000K .......... .......... .......... .......... .......... 92% 17.5M 2s 244050K .......... .......... .......... .......... .......... 92% 15.0M 2s 244100K .......... .......... .......... .......... .......... 92% 16.4M 2s 244150K .......... .......... .......... .......... .......... 92% 14.5M 2s 244200K .......... .......... .......... .......... .......... 92% 19.0M 2s 244250K .......... .......... .......... .......... .......... 92% 23.7M 2s 244300K .......... .......... .......... .......... .......... 92% 33.6M 2s 244350K .......... .......... .......... .......... .......... 92% 24.9M 2s 244400K .......... .......... .......... .......... .......... 92% 17.8M 2s 244450K .......... .......... .......... .......... .......... 92% 29.0M 2s 244500K .......... .......... .......... .......... .......... 92% 23.2M 2s 244550K .......... .......... .......... .......... .......... 92% 15.5M 2s 244600K .......... .......... .......... .......... .......... 92% 16.2M 2s 244650K .......... .......... .......... .......... .......... 92% 15.3M 2s 244700K .......... .......... .......... .......... .......... 92% 13.6M 2s 244750K .......... .......... .......... .......... .......... 92% 16.7M 2s 244800K .......... .......... .......... .......... .......... 92% 19.1M 2s 244850K .......... .......... .......... .......... .......... 92% 12.8M 2s 244900K .......... .......... .......... .......... .......... 93% 13.3M 2s 244950K .......... .......... .......... .......... .......... 93% 9.96M 2s 245000K .......... .......... .......... .......... .......... 93% 10.8M 2s 245050K .......... .......... .......... .......... .......... 93% 8.95M 2s 245100K .......... .......... .......... .......... .......... 93% 10.8M 2s 245150K .......... .......... .......... .......... .......... 93% 16.1M 2s 245200K .......... .......... .......... .......... .......... 93% 13.2M 2s 245250K .......... .......... .......... .......... .......... 93% 17.0M 2s 245300K .......... .......... .......... .......... .......... 93% 12.4M 2s 245350K .......... .......... .......... .......... .......... 93% 14.1M 2s 245400K .......... .......... .......... .......... .......... 93% 16.5M 2s 245450K .......... .......... .......... .......... .......... 93% 10.9M 2s 245500K .......... .......... .......... .......... .......... 93% 18.0M 2s 245550K .......... .......... .......... .......... .......... 93% 14.0M 2s 245600K .......... .......... .......... .......... .......... 93% 16.5M 2s 245650K .......... .......... .......... .......... .......... 93% 17.9M 2s 245700K .......... .......... .......... .......... .......... 93% 18.6M 2s 245750K .......... .......... .......... .......... .......... 93% 11.6M 2s 245800K .......... .......... .......... .......... .......... 93% 15.3M 2s 245850K .......... .......... .......... .......... .......... 93% 14.4M 2s 245900K .......... .......... .......... .......... .......... 93% 26.3M 2s 245950K .......... .......... .......... .......... .......... 93% 17.2M 2s 246000K .......... .......... .......... .......... .......... 93% 19.4M 2s 246050K .......... .......... .......... .......... .......... 93% 27.4M 2s 246100K .......... .......... .......... .......... .......... 93% 13.6M 2s 246150K .......... .......... .......... .......... .......... 93% 22.5M 2s 246200K .......... .......... .......... .......... .......... 93% 12.2M 2s 246250K .......... .......... .......... .......... .......... 93% 9.94M 1s 246300K .......... .......... .......... .......... .......... 93% 17.5M 1s 246350K .......... .......... .......... .......... .......... 93% 9.79M 1s 246400K .......... .......... .......... .......... .......... 93% 11.9M 1s 246450K .......... .......... .......... .......... .......... 93% 17.3M 1s 246500K .......... .......... .......... .......... .......... 93% 16.4M 1s 246550K .......... .......... .......... .......... .......... 93% 16.5M 1s 246600K .......... .......... .......... .......... .......... 93% 12.3M 1s 246650K .......... .......... .......... .......... .......... 93% 17.2M 1s 246700K .......... .......... .......... .......... .......... 93% 11.7M 1s 246750K .......... .......... .......... .......... .......... 93% 12.2M 1s 246800K .......... .......... .......... .......... .......... 93% 14.3M 1s 246850K .......... .......... .......... .......... .......... 93% 11.9M 1s 246900K .......... .......... .......... .......... .......... 93% 14.2M 1s 246950K .......... .......... .......... .......... .......... 93% 16.0M 1s 247000K .......... .......... .......... .......... .......... 93% 22.0M 1s 247050K .......... .......... .......... .......... .......... 93% 35.9M 1s 247100K .......... .......... .......... .......... .......... 93% 20.4M 1s 247150K .......... .......... .......... .......... .......... 93% 30.4M 1s 247200K .......... .......... .......... .......... .......... 93% 25.4M 1s 247250K .......... .......... .......... .......... .......... 93% 33.3M 1s 247300K .......... .......... .......... .......... .......... 93% 18.2M 1s 247350K .......... .......... .......... .......... .......... 93% 22.7M 1s 247400K .......... .......... .......... .......... .......... 93% 19.6M 1s 247450K .......... .......... .......... .......... .......... 93% 17.6M 1s 247500K .......... .......... .......... .......... .......... 93% 14.7M 1s 247550K .......... .......... .......... .......... .......... 94% 18.2M 1s 247600K .......... .......... .......... .......... .......... 94% 18.8M 1s 247650K .......... .......... .......... .......... .......... 94% 16.6M 1s 247700K .......... .......... .......... .......... .......... 94% 13.3M 1s 247750K .......... .......... .......... .......... .......... 94% 14.6M 1s 247800K .......... .......... .......... .......... .......... 94% 20.2M 1s 247850K .......... .......... .......... .......... .......... 94% 17.3M 1s 247900K .......... .......... .......... .......... .......... 94% 21.0M 1s 247950K .......... .......... .......... .......... .......... 94% 11.6M 1s 248000K .......... .......... .......... .......... .......... 94% 12.6M 1s 248050K .......... .......... .......... .......... .......... 94% 16.2M 1s 248100K .......... .......... .......... .......... .......... 94% 15.6M 1s 248150K .......... .......... .......... .......... .......... 94% 14.7M 1s 248200K .......... .......... .......... .......... .......... 94% 8.48M 1s 248250K .......... .......... .......... .......... .......... 94% 9.56M 1s 248300K .......... .......... .......... .......... .......... 94% 14.6M 1s 248350K .......... .......... .......... .......... .......... 94% 9.03M 1s 248400K .......... .......... .......... .......... .......... 94% 14.5M 1s 248450K .......... .......... .......... .......... .......... 94% 16.2M 1s 248500K .......... .......... .......... .......... .......... 94% 14.6M 1s 248550K .......... .......... .......... .......... .......... 94% 14.6M 1s 248600K .......... .......... .......... .......... .......... 94% 11.5M 1s 248650K .......... .......... .......... .......... .......... 94% 9.34M 1s 248700K .......... .......... .......... .......... .......... 94% 16.1M 1s 248750K .......... .......... .......... .......... .......... 94% 19.6M 1s 248800K .......... .......... .......... .......... .......... 94% 18.9M 1s 248850K .......... .......... .......... .......... .......... 94% 21.6M 1s 248900K .......... .......... .......... .......... .......... 94% 16.5M 1s 248950K .......... .......... .......... .......... .......... 94% 19.1M 1s 249000K .......... .......... .......... .......... .......... 94% 57.1M 1s 249050K .......... .......... .......... .......... .......... 94% 28.3M 1s 249100K .......... .......... .......... .......... .......... 94% 15.9M 1s 249150K .......... .......... .......... .......... .......... 94% 10.9M 1s 249200K .......... .......... .......... .......... .......... 94% 12.3M 1s 249250K .......... .......... .......... .......... .......... 94% 11.8M 1s 249300K .......... .......... .......... .......... .......... 94% 52.9M 1s 249350K .......... .......... .......... .......... .......... 94% 18.5M 1s 249400K .......... .......... .......... .......... .......... 94% 21.7M 1s 249450K .......... .......... .......... .......... .......... 94% 19.4M 1s 249500K .......... .......... .......... .......... .......... 94% 13.8M 1s 249550K .......... .......... .......... .......... .......... 94% 22.2M 1s 249600K .......... .......... .......... .......... .......... 94% 13.8M 1s 249650K .......... .......... .......... .......... .......... 94% 27.7M 1s 249700K .......... .......... .......... .......... .......... 94% 13.7M 1s 249750K .......... .......... .......... .......... .......... 94% 24.3M 1s 249800K .......... .......... .......... .......... .......... 94% 18.6M 1s 249850K .......... .......... .......... .......... .......... 94% 15.9M 1s 249900K .......... .......... .......... .......... .......... 94% 15.3M 1s 249950K .......... .......... .......... .......... .......... 94% 18.4M 1s 250000K .......... .......... .......... .......... .......... 94% 17.5M 1s 250050K .......... .......... .......... .......... .......... 94% 10.2M 1s 250100K .......... .......... .......... .......... .......... 94% 23.1M 1s 250150K .......... .......... .......... .......... .......... 94% 10.3M 1s 250200K .......... .......... .......... .......... .......... 95% 27.9M 1s 250250K .......... .......... .......... .......... .......... 95% 12.7M 1s 250300K .......... .......... .......... .......... .......... 95% 16.2M 1s 250350K .......... .......... .......... .......... .......... 95% 19.0M 1s 250400K .......... .......... .......... .......... .......... 95% 9.79M 1s 250450K .......... .......... .......... .......... .......... 95% 9.08M 1s 250500K .......... .......... .......... .......... .......... 95% 20.5M 1s 250550K .......... .......... .......... .......... .......... 95% 18.5M 1s 250600K .......... .......... .......... .......... .......... 95% 40.2M 1s 250650K .......... .......... .......... .......... .......... 95% 17.6M 1s 250700K .......... .......... .......... .......... .......... 95% 11.0M 1s 250750K .......... .......... .......... .......... .......... 95% 26.4M 1s 250800K .......... .......... .......... .......... .......... 95% 24.6M 1s 250850K .......... .......... .......... .......... .......... 95% 18.5M 1s 250900K .......... .......... .......... .......... .......... 95% 16.8M 1s 250950K .......... .......... .......... .......... .......... 95% 11.4M 1s 251000K .......... .......... .......... .......... .......... 95% 7.19M 1s 251050K .......... .......... .......... .......... .......... 95% 895K 1s 251100K .......... .......... .......... .......... .......... 95% 6.20M 1s 251150K .......... .......... .......... .......... .......... 95% 4.13M 1s 251200K .......... .......... .......... .......... .......... 95% 8.87M 1s 251250K .......... .......... .......... .......... .......... 95% 11.0M 1s 251300K .......... .......... .......... .......... .......... 95% 10.7M 1s 251350K .......... .......... .......... .......... .......... 95% 8.21M 1s 251400K .......... .......... .......... .......... .......... 95% 9.37M 1s 251450K .......... .......... .......... .......... .......... 95% 7.44M 1s 251500K .......... .......... .......... .......... .......... 95% 8.24M 1s 251550K .......... .......... .......... .......... .......... 95% 12.1M 1s 251600K .......... .......... .......... .......... .......... 95% 9.06M 1s 251650K .......... .......... .......... .......... .......... 95% 10.3M 1s 251700K .......... .......... .......... .......... .......... 95% 21.5M 1s 251750K .......... .......... .......... .......... .......... 95% 9.85M 1s 251800K .......... .......... .......... .......... .......... 95% 14.7M 1s 251850K .......... .......... .......... .......... .......... 95% 9.78M 1s 251900K .......... .......... .......... .......... .......... 95% 14.4M 1s 251950K .......... .......... .......... .......... .......... 95% 8.63M 1s 252000K .......... .......... .......... .......... .......... 95% 9.79M 1s 252050K .......... .......... .......... .......... .......... 95% 11.3M 1s 252100K .......... .......... .......... .......... .......... 95% 8.38M 1s 252150K .......... .......... .......... .......... .......... 95% 6.28M 1s 252200K .......... .......... .......... .......... .......... 95% 15.9M 1s 252250K .......... .......... .......... .......... .......... 95% 15.5M 1s 252300K .......... .......... .......... .......... .......... 95% 8.28M 1s 252350K .......... .......... .......... .......... .......... 95% 10.1M 1s 252400K .......... .......... .......... .......... .......... 95% 12.5M 1s 252450K .......... .......... .......... .......... .......... 95% 12.4M 1s 252500K .......... .......... .......... .......... .......... 95% 12.6M 1s 252550K .......... .......... .......... .......... .......... 95% 10.0M 1s 252600K .......... .......... .......... .......... .......... 95% 13.5M 1s 252650K .......... .......... .......... .......... .......... 95% 8.83M 1s 252700K .......... .......... .......... .......... .......... 95% 8.49M 1s 252750K .......... .......... .......... .......... .......... 95% 23.9M 1s 252800K .......... .......... .......... .......... .......... 96% 18.8M 1s 252850K .......... .......... .......... .......... .......... 96% 17.7M 1s 252900K .......... .......... .......... .......... .......... 96% 18.2M 1s 252950K .......... .......... .......... .......... .......... 96% 22.7M 1s 253000K .......... .......... .......... .......... .......... 96% 35.8M 1s 253050K .......... .......... .......... .......... .......... 96% 29.6M 1s 253100K .......... .......... .......... .......... .......... 96% 30.3M 1s 253150K .......... .......... .......... .......... .......... 96% 22.3M 1s 253200K .......... .......... .......... .......... .......... 96% 31.8M 1s 253250K .......... .......... .......... .......... .......... 96% 15.1M 1s 253300K .......... .......... .......... .......... .......... 96% 77.6M 1s 253350K .......... .......... .......... .......... .......... 96% 21.4M 1s 253400K .......... .......... .......... .......... .......... 96% 30.5M 1s 253450K .......... .......... .......... .......... .......... 96% 22.0M 1s 253500K .......... .......... .......... .......... .......... 96% 27.6M 1s 253550K .......... .......... .......... .......... .......... 96% 24.5M 1s 253600K .......... .......... .......... .......... .......... 96% 19.2M 1s 253650K .......... .......... .......... .......... .......... 96% 30.9M 1s 253700K .......... .......... .......... .......... .......... 96% 20.7M 1s 253750K .......... .......... .......... .......... .......... 96% 36.4M 1s 253800K .......... .......... .......... .......... .......... 96% 18.8M 1s 253850K .......... .......... .......... .......... .......... 96% 24.0M 1s 253900K .......... .......... .......... .......... .......... 96% 27.3M 1s 253950K .......... .......... .......... .......... .......... 96% 18.8M 1s 254000K .......... .......... .......... .......... .......... 96% 19.8M 1s 254050K .......... .......... .......... .......... .......... 96% 28.0M 1s 254100K .......... .......... .......... .......... .......... 96% 15.0M 1s 254150K .......... .......... .......... .......... .......... 96% 11.7M 1s 254200K .......... .......... .......... .......... .......... 96% 71.3M 1s 254250K .......... .......... .......... .......... .......... 96% 26.9M 1s 254300K .......... .......... .......... .......... .......... 96% 19.0M 1s 254350K .......... .......... .......... .......... .......... 96% 16.2M 1s 254400K .......... .......... .......... .......... .......... 96% 9.70M 1s 254450K .......... .......... .......... .......... .......... 96% 41.5M 1s 254500K .......... .......... .......... .......... .......... 96% 17.1M 1s 254550K .......... .......... .......... .......... .......... 96% 19.5M 1s 254600K .......... .......... .......... .......... .......... 96% 8.65M 1s 254650K .......... .......... .......... .......... .......... 96% 13.2M 1s 254700K .......... .......... .......... .......... .......... 96% 16.1M 1s 254750K .......... .......... .......... .......... .......... 96% 15.6M 1s 254800K .......... .......... .......... .......... .......... 96% 14.7M 1s 254850K .......... .......... .......... .......... .......... 96% 13.0M 1s 254900K .......... .......... .......... .......... .......... 96% 20.9M 1s 254950K .......... .......... .......... .......... .......... 96% 12.6M 1s 255000K .......... .......... .......... .......... .......... 96% 20.1M 1s 255050K .......... .......... .......... .......... .......... 96% 17.9M 1s 255100K .......... .......... .......... .......... .......... 96% 13.1M 1s 255150K .......... .......... .......... .......... .......... 96% 10.8M 1s 255200K .......... .......... .......... .......... .......... 96% 15.4M 1s 255250K .......... .......... .......... .......... .......... 96% 12.5M 1s 255300K .......... .......... .......... .......... .......... 96% 13.5M 1s 255350K .......... .......... .......... .......... .......... 96% 16.7M 1s 255400K .......... .......... .......... .......... .......... 96% 10.4M 1s 255450K .......... .......... .......... .......... .......... 97% 15.6M 1s 255500K .......... .......... .......... .......... .......... 97% 9.19M 1s 255550K .......... .......... .......... .......... .......... 97% 10.6M 1s 255600K .......... .......... .......... .......... .......... 97% 11.3M 1s 255650K .......... .......... .......... .......... .......... 97% 15.0M 1s 255700K .......... .......... .......... .......... .......... 97% 9.55M 1s 255750K .......... .......... .......... .......... .......... 97% 12.4M 1s 255800K .......... .......... .......... .......... .......... 97% 13.8M 1s 255850K .......... .......... .......... .......... .......... 97% 8.56M 1s 255900K .......... .......... .......... .......... .......... 97% 14.3M 1s 255950K .......... .......... .......... .......... .......... 97% 15.4M 1s 256000K .......... .......... .......... .......... .......... 97% 9.80M 1s 256050K .......... .......... .......... .......... .......... 97% 12.9M 1s 256100K .......... .......... .......... .......... .......... 97% 13.4M 1s 256150K .......... .......... .......... .......... .......... 97% 20.1M 1s 256200K .......... .......... .......... .......... .......... 97% 20.3M 1s 256250K .......... .......... .......... .......... .......... 97% 18.3M 1s 256300K .......... .......... .......... .......... .......... 97% 27.9M 1s 256350K .......... .......... .......... .......... .......... 97% 16.3M 1s 256400K .......... .......... .......... .......... .......... 97% 19.1M 1s 256450K .......... .......... .......... .......... .......... 97% 10.2M 1s 256500K .......... .......... .......... .......... .......... 97% 12.1M 1s 256550K .......... .......... .......... .......... .......... 97% 18.7M 1s 256600K .......... .......... .......... .......... .......... 97% 14.3M 1s 256650K .......... .......... .......... .......... .......... 97% 13.7M 1s 256700K .......... .......... .......... .......... .......... 97% 10.8M 1s 256750K .......... .......... .......... .......... .......... 97% 13.3M 1s 256800K .......... .......... .......... .......... .......... 97% 13.3M 1s 256850K .......... .......... .......... .......... .......... 97% 12.7M 1s 256900K .......... .......... .......... .......... .......... 97% 12.1M 1s 256950K .......... .......... .......... .......... .......... 97% 10.5M 1s 257000K .......... .......... .......... .......... .......... 97% 10.7M 1s 257050K .......... .......... .......... .......... .......... 97% 8.65M 1s 257100K .......... .......... .......... .......... .......... 97% 4.54M 1s 257150K .......... .......... .......... .......... .......... 97% 5.91M 1s 257200K .......... .......... .......... .......... .......... 97% 4.52M 1s 257250K .......... .......... .......... .......... .......... 97% 10.6M 1s 257300K .......... .......... .......... .......... .......... 97% 16.0M 1s 257350K .......... .......... .......... .......... .......... 97% 16.9M 1s 257400K .......... .......... .......... .......... .......... 97% 15.8M 1s 257450K .......... .......... .......... .......... .......... 97% 10.9M 1s 257500K .......... .......... .......... .......... .......... 97% 13.7M 1s 257550K .......... .......... .......... .......... .......... 97% 22.0M 1s 257600K .......... .......... .......... .......... .......... 97% 15.0M 0s 257650K .......... .......... .......... .......... .......... 97% 21.8M 0s 257700K .......... .......... .......... .......... .......... 97% 11.9M 0s 257750K .......... .......... .......... .......... .......... 97% 14.5M 0s 257800K .......... .......... .......... .......... .......... 97% 15.8M 0s 257850K .......... .......... .......... .......... .......... 97% 14.7M 0s 257900K .......... .......... .......... .......... .......... 97% 16.6M 0s 257950K .......... .......... .......... .......... .......... 97% 20.0M 0s 258000K .......... .......... .......... .......... .......... 97% 16.3M 0s 258050K .......... .......... .......... .......... .......... 97% 20.1M 0s 258100K .......... .......... .......... .......... .......... 98% 13.3M 0s 258150K .......... .......... .......... .......... .......... 98% 18.5M 0s 258200K .......... .......... .......... .......... .......... 98% 11.3M 0s 258250K .......... .......... .......... .......... .......... 98% 10.3M 0s 258300K .......... .......... .......... .......... .......... 98% 8.53M 0s 258350K .......... .......... .......... .......... .......... 98% 11.7M 0s 258400K .......... .......... .......... .......... .......... 98% 17.6M 0s 258450K .......... .......... .......... .......... .......... 98% 13.1M 0s 258500K .......... .......... .......... .......... .......... 98% 18.1M 0s 258550K .......... .......... .......... .......... .......... 98% 20.0M 0s 258600K .......... .......... .......... .......... .......... 98% 13.8M 0s 258650K .......... .......... .......... .......... .......... 98% 19.3M 0s 258700K .......... .......... .......... .......... .......... 98% 9.43M 0s 258750K .......... .......... .......... .......... .......... 98% 10.9M 0s 258800K .......... .......... .......... .......... .......... 98% 11.1M 0s 258850K .......... .......... .......... .......... .......... 98% 8.84M 0s 258900K .......... .......... .......... .......... .......... 98% 7.21M 0s 258950K .......... .......... .......... .......... .......... 98% 13.4M 0s 259000K .......... .......... .......... .......... .......... 98% 14.7M 0s 259050K .......... .......... .......... .......... .......... 98% 12.0M 0s 259100K .......... .......... .......... .......... .......... 98% 7.16M 0s 259150K .......... .......... .......... .......... .......... 98% 10.8M 0s 259200K .......... .......... .......... .......... .......... 98% 11.9M 0s 259250K .......... .......... .......... .......... .......... 98% 19.7M 0s 259300K .......... .......... .......... .......... .......... 98% 18.2M 0s 259350K .......... .......... .......... .......... .......... 98% 9.90M 0s 259400K .......... .......... .......... .......... .......... 98% 16.3M 0s 259450K .......... .......... .......... .......... .......... 98% 13.2M 0s 259500K .......... .......... .......... .......... .......... 98% 16.5M 0s 259550K .......... .......... .......... .......... .......... 98% 18.5M 0s 259600K .......... .......... .......... .......... .......... 98% 20.2M 0s 259650K .......... .......... .......... .......... .......... 98% 16.5M 0s 259700K .......... .......... .......... .......... .......... 98% 19.5M 0s 259750K .......... .......... .......... .......... .......... 98% 33.6M 0s 259800K .......... .......... .......... .......... .......... 98% 10.8M 0s 259850K .......... .......... .......... .......... .......... 98% 9.22M 0s 259900K .......... .......... .......... .......... .......... 98% 10.4M 0s 259950K .......... .......... .......... .......... .......... 98% 10.6M 0s 260000K .......... .......... .......... .......... .......... 98% 12.4M 0s 260050K .......... .......... .......... .......... .......... 98% 9.46M 0s 260100K .......... .......... .......... .......... .......... 98% 10.9M 0s 260150K .......... .......... .......... .......... .......... 98% 15.3M 0s 260200K .......... .......... .......... .......... .......... 98% 13.7M 0s 260250K .......... .......... .......... .......... .......... 98% 13.2M 0s 260300K .......... .......... .......... .......... .......... 98% 8.98M 0s 260350K .......... .......... .......... .......... .......... 98% 14.3M 0s 260400K .......... .......... .......... .......... .......... 98% 18.2M 0s 260450K .......... .......... .......... .......... .......... 98% 38.3M 0s 260500K .......... .......... .......... .......... .......... 98% 37.7M 0s 260550K .......... .......... .......... .......... .......... 98% 15.8M 0s 260600K .......... .......... .......... .......... .......... 98% 24.3M 0s 260650K .......... .......... .......... .......... .......... 98% 25.3M 0s 260700K .......... .......... .......... .......... .......... 99% 17.4M 0s 260750K .......... .......... .......... .......... .......... 99% 18.5M 0s 260800K .......... .......... .......... .......... .......... 99% 19.3M 0s 260850K .......... .......... .......... .......... .......... 99% 15.8M 0s 260900K .......... .......... .......... .......... .......... 99% 19.4M 0s 260950K .......... .......... .......... .......... .......... 99% 14.3M 0s 261000K .......... .......... .......... .......... .......... 99% 17.1M 0s 261050K .......... .......... .......... .......... .......... 99% 13.3M 0s 261100K .......... .......... .......... .......... .......... 99% 11.0M 0s 261150K .......... .......... .......... .......... .......... 99% 18.6M 0s 261200K .......... .......... .......... .......... .......... 99% 22.1M 0s 261250K .......... .......... .......... .......... .......... 99% 14.6M 0s 261300K .......... .......... .......... .......... .......... 99% 267M 0s 261350K .......... .......... .......... .......... .......... 99% 46.8M 0s 261400K .......... .......... .......... .......... .......... 99% 35.9M 0s 261450K .......... .......... .......... .......... .......... 99% 19.1M 0s 261500K .......... .......... .......... .......... .......... 99% 56.8M 0s 261550K .......... .......... .......... .......... .......... 99% 60.8M 0s 261600K .......... .......... .......... .......... .......... 99% 34.8M 0s 261650K .......... .......... .......... .......... .......... 99% 24.7M 0s 261700K .......... .......... .......... .......... .......... 99% 36.0M 0s 261750K .......... .......... .......... .......... .......... 99% 32.6M 0s 261800K .......... .......... .......... .......... .......... 99% 33.8M 0s 261850K .......... .......... .......... .......... .......... 99% 39.7M 0s 261900K .......... .......... .......... .......... .......... 99% 53.3M 0s 261950K .......... .......... .......... .......... .......... 99% 31.1M 0s 262000K .......... .......... .......... .......... .......... 99% 42.9M 0s 262050K .......... .......... .......... .......... .......... 99% 14.4M 0s 262100K .......... .......... .......... .......... .......... 99% 23.0M 0s 262150K .......... .......... .......... .......... .......... 99% 23.9M 0s 262200K .......... .......... .......... .......... .......... 99% 14.2M 0s 262250K .......... .......... .......... .......... .......... 99% 19.0M 0s 262300K .......... .......... .......... .......... .......... 99% 14.1M 0s 262350K .......... .......... .......... .......... .......... 99% 23.1M 0s 262400K .......... .......... .......... .......... .......... 99% 16.7M 0s 262450K .......... .......... .......... .......... .......... 99% 18.5M 0s 262500K .......... .......... .......... .......... .......... 99% 22.9M 0s 262550K .......... .......... .......... .......... .......... 99% 30.5M 0s 262600K .......... .......... .......... .......... .......... 99% 20.8M 0s 262650K .......... .......... .......... .......... .......... 99% 16.4M 0s 262700K .......... .......... .......... .......... .......... 99% 33.5M 0s 262750K .......... .......... .......... .......... .......... 99% 26.2M 0s 262800K .......... .......... .......... .......... .......... 99% 18.0M 0s 262850K .......... .......... .......... .......... .......... 99% 24.2M 0s 262900K .......... .......... .......... .......... .......... 99% 37.9M 0s 262950K .......... .......... .......... .......... .......... 99% 16.0M 0s 263000K .......... .......... .......... .......... .......... 99% 22.5M 0s 263050K .......... .......... .......... .......... .......... 99% 17.7M 0s 263100K .......... .......... .......... .......... .......... 99% 15.0M 0s 263150K .......... .......... .......... .......... .......... 99% 29.5M 0s 263200K .......... .......... .......... .......... .......... 99% 17.8M 0s 263250K .......... .......... .......... .......... .......... 99% 18.6M 0s 263300K .......... .......... .......... .......... .......... 99% 20.1M 0s 263350K .......... .......... .. 100% 22.8M=23s 2024-03-06 07:47:59 (11.3 MB/s) - ‘ESPbeta.tgz’ saved [269693094/269693094] + tar -xf ESPbeta.tgz + rm -rf EngSketchPad/data/Slugs + python --version Python 3.11.6 + [[ '' == *\.\i\f\o\r\t ]] + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/config/ + ./makeEnv ESP runtime AutoConfigurator CASROOT = /home/jenkins/util/ESP/OpenCASCADE-7.6.0 with contents: bin include lib share Info: Python exec /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python found! Info: Python header /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11/Python.h found! Info: Python library /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib/libpython3.11.so found! ESP_ARCH = LINUX64 ESP_ROOT = /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad EFCOMP = gfortran CARCH = LINUX64 CASROOT = /home/jenkins/util/ESP/OpenCASCADE-7.6.0 CASARCH = . CASREV = 7.6 PYTHONINC= /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 PYTHONLIB= -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 + source /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/ESPenv.sh ++ export ESP_ARCH=LINUX64 ++ ESP_ARCH=LINUX64 ++ export ESP_ROOT=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad ++ ESP_ROOT=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad ++ export CASROOT=/home/jenkins/util/ESP/OpenCASCADE-7.6.0 ++ CASROOT=/home/jenkins/util/ESP/OpenCASCADE-7.6.0 ++ export CASARCH=. ++ CASARCH=. ++ export CASREV=7.6 ++ CASREV=7.6 ++ export PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/test:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/test:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/bin:/home/jenkins/util/tsfoil:/home/jenkins/util/refine/build_parallel/install/bin:/home/jenkins/util/pointwise/Pointwise2023.2:/home/jenkins/util/mystran/MYSTRAN/Binaries:/home/jenkins/util/julia/julia/bin:/home/jenkins/util/delaundo/delaundo/src:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin:/home/jenkins/util/cart3d/cart3d_v1.5.9_X86_64_ICC--22.11.16/bin/X86_64_ICC/:/home/jenkins/util/abaqus/DassaultSystemes/SIMULIA/Commands:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/bin:/usr/local/bin:/usr/bin:/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export PYTHONINC=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 ++ PYTHONINC=/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 ++ export 'PYTHONLIB=-L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11' ++ PYTHONLIB='-L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11' ++ export PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/pyESP:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/CAPS/pyCAPS:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/pyOCSM:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ PYTHONPATH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/pyESP:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/CAPS/pyCAPS:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/OpenCSM/trunk/pyOCSM:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EGADS/trunk/pyEGADS::/home/jenkins/util/SU2/SU2-v8.0.0/bin ++ export EFCOMP=gfortran ++ EFCOMP=gfortran ++ export AFLR_ARCH=Linux-x86-64 ++ AFLR_ARCH=Linux-x86-64 ++ '[' -z /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/lib:/home/jenkins/util/ESP/OpenCASCADE-7.6.0/lib:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ']' ++ export LD_LIBRARY_PATH=/home/jenkins/util/ESP/OpenCASCADE-7.6.0/./lib:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/lib:/home/jenkins/util/ESP/OpenCASCADE-7.6.0/lib:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ++ LD_LIBRARY_PATH=/home/jenkins/util/ESP/OpenCASCADE-7.6.0/./lib:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib:/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP/LINUX64/lib:/home/jenkins/util/ESP/OpenCASCADE-7.6.0/lib:/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib: ++ export CAPS_GLYPH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/pointwise/glyph ++ CAPS_GLYPH=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/pointwise/glyph ++ export TETGEN=/home/jenkins/util/tetgen/tetgen1.6.0 ++ TETGEN=/home/jenkins/util/tetgen/tetgen1.6.0 ++ export 'SLUGS_START=firefox /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/SLUGS/Slugs.html &' ++ SLUGS_START='firefox /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/SLUGS/Slugs.html &' ++ export 'ESP_START=firefox /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/ESP/ESP.html &' ++ ESP_START='firefox /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/ESP/ESP.html &' ++ export 'WV_START=firefox /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/wvClient/wv.html &' ++ WV_START='firefox /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/wvClient/wv.html &' + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src + make (cd EGADS/src; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/src' touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/LINUX64 rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/LINUX64 cp -p ../include/LINUX64 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/LINUX64 touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/STANALYZER.make rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/STANALYZER.make cp -p ../include/STANALYZER.make /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/STANALYZER.make touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads.h cp -p ../include/egads.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads_dot.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads_dot.h cp -p ../include/egads_dot.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads_dot.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads.inc rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads.inc cp -p ../include/egads.inc /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egads.inc touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsErrors.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsErrors.h cp -p ../include/egadsErrors.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsErrors.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsTypes.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsTypes.h cp -p ../include/egadsTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsTypes.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/emp.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/emp.h cp -p ../include/emp.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/emp.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/prm.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/prm.h cp -p ../include/prm.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/prm.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsserver.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsserver.h cp -p ../include/wsserver.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsserver.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsserver.inc rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsserver.inc cp -p ../include/wsserver.inc /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsserver.inc touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsss.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsss.h cp -p ../include/wsss.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/wsss.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsTris.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsTris.h cp -p egadsTris.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsTris.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsSplineFit.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsSplineFit.h cp -p egadsSplineFit.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsSplineFit.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsSplineVels.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsSplineVels.h cp -p egadsSplineVels.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsSplineVels.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsf90.inc rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsf90.inc cp -p ../include/egadsf90.inc /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egadsf90.inc mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/SurrealD.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/SurrealD.h mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/SurrealD_Lazy.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/SurrealD_Lazy.h mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/SurrealD_Trad.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/SurrealD_Trad.h mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/SurrealS.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/SurrealS.h mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/SurrealS_Lazy.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/SurrealS_Lazy.h mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/SurrealS_Trad.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/SurrealS_Trad.h mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal cp Surreal/always_inline.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/Surreal/always_inline.h g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsGeom.cpp -o ./egadsGeom.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsHLevel.cpp -o ./egadsHLevel.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsTopo.cpp -o ./egadsTopo.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsCopy.cpp -o ./egadsCopy.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsSpline.cpp -o ./egadsSpline.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsIO.cpp -o ./egadsIO.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsSplineFit.cpp -o ./egadsSplineFit.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsSkinning.cpp -o ./egadsSkinning.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include \ -I. egadsTessSens.cpp -o ./egadsTessSens.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include OCC/BRepLib_FuseEdges.cpp -o ./BRepLib_FuseEdges.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsBase.c -o ./egadsBase.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsMemory.c -o ./egadsMemory.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsAttrs.c -o ./egadsAttrs.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsTess.c -o ./egadsTess.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsTessInp.c -o ./egadsTessInp.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsTris.c -o ./egadsTris.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsQuads.c -o ./egadsQuads.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsFit.c -o ./egadsFit.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsRobust.c -o ./egadsRobust.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsSBO.c -o ./egadsSBO.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap prmCfit.c -o ./prmCfit.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap prmGrid.c -o ./prmGrid.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap prmUV.c -o ./prmUV.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsExport.c -o ./egadsExport.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsEffect.c -o ./egadsEffect.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I../util \ -I../util/uvmap egadsSolids.c -o ./egadsSolids.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include ../util/emp.c \ -o ./emp.o g++ -g -x c++ -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -IOCC -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I. -I../include \ ../util/evaluate.c -o ./evaluate.o g++ -g -x c++ -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I. -I../include \ ../util/rational.c -o ./rational.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/inc -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0/include/opencascade -I/home/jenkins/util/ESP/OpenCASCADE-7.6.0 -I../include -I../include -I../util \ ../util/regQuads.c -o ./regQuads.o g++ -g -o ./SurrealD1 -O -std=c++11 -I. ../util/SurrealD1_btest.cpp ./SurrealD1 SurrealD1_test_suite Complete! g++ -g -o ./SurrealD4 -O -std=c++11 -I. ../util/SurrealD4_btest.cpp ./SurrealD4 SurrealD4_test_suite Complete! g++ -g -o ./SurrealS1 -O -std=c++11 -I. ../util/SurrealS1_btest.cpp ./SurrealS1 SurrealS1_test_suite Complete! g++ -g -o ./SurrealS4 -O -std=c++11 -I. ../util/SurrealS4_btest.cpp ../util/SurrealS4_btest.cpp: In function ‘void multiply_deriv2()’: ../util/SurrealS4_btest.cpp:1265:23: note: variable tracking size limit exceeded with -fvar-tracking-assignments, retrying without BOOST_AUTO_TEST_CASE( multiply_deriv2 ) ^ ../util/SurrealS4_btest.cpp:19:42: note: in definition of macro ‘BOOST_AUTO_TEST_CASE’ #define BOOST_AUTO_TEST_CASE( fun ) void fun() ^ ./SurrealS4 SurrealS4_test_suite Complete! make -C ../util make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/util' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 uvmap/main/uvmap.c -Iuvmap \ -o ./uvmap.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_bnd_adj.c -o ./uvmap_bnd_adj.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_ibfibf.c -o ./uvmap_ibfibf.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_message.c -o ./uvmap_message.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_ibfin.c -o ./uvmap_ibfin.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_read.c -o ./uvmap_read.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_iccin.c -o ./uvmap_iccin.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_add.c -o ./uvmap_add.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_cpu_message.c -o ./uvmap_cpu_message.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/EG_uvmapFindUV.c -o ./EG_uvmapFindUV.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/EG_uvmapTest.c -o ./EG_uvmapTest.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_inibe.c -o ./uvmap_inibe.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_version.c -o ./uvmap_version.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_inl_uv_bnd.c -o ./uvmap_inl_uv_bnd.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_chk_edge_ratio.c -o ./uvmap_chk_edge_ratio.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_struct_tasks.c -o ./uvmap_struct_tasks.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/EG_uvmap_Read.c -o ./EG_uvmap_Read.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_idibe.c -o ./uvmap_idibe.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/EG_uvmapStructFree.c -o ./EG_uvmapStructFree.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/EG_uvmapGen.c -o ./EG_uvmapGen.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_write.c -o ./uvmap_write.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_ibeibe.c -o ./uvmap_ibeibe.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_chk_area_uv.c -o ./uvmap_chk_area_uv.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_norm_uv.c -o ./uvmap_norm_uv.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_iccibe.c -o ./uvmap_iccibe.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_malloc.c -o ./uvmap_malloc.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_gen_uv.c -o ./uvmap_gen_uv.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_to_egads.c -o ./uvmap_to_egads.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/EG_uvmap_Write.c -o ./EG_uvmap_Write.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_test.c -o ./uvmap_test.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_mben_disc.c -o ./uvmap_mben_disc.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_find_uv.c -o ./uvmap_find_uv.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_gen.c -o ./uvmap_gen.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_solve.c -o ./uvmap_solve.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. uvmap/uvmap_from_egads.c -o ./uvmap_from_egads.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 egadsUVmap.c -Iuvmap \ -o ./egadsUVmap.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libuvmap.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libuvmap.a (cd .; ar rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libuvmap.a ./egadsUVmap.o uvmap_cpu_message.o uvmap_ibfibf.o uvmap_message.o uvmap_version.o uvmap_gen_uv.o uvmap_inl_uv_bnd.o EG_uvmap_Read.o uvmap_chk_edge_ratio.o uvmap_idibe.o uvmap_write.o uvmap_ibeibe.o uvmap_chk_area_uv.o uvmap_gen.o uvmap_malloc.o uvmap_to_egads.o EG_uvmap_Write.o uvmap_iccibe.o EG_uvmapGen.o EG_uvmapTest.o uvmap_read.o uvmap_ibfin.o uvmap_iccin.o uvmap_add.o EG_uvmapFindUV.o uvmap_struct_tasks.o uvmap_bnd_adj.o EG_uvmapStructFree.o uvmap_inibe.o uvmap_norm_uv.o uvmap_test.o uvmap_mben_disc.o uvmap_find_uv.o uvmap_solve.o uvmap_from_egads.o; ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libuvmap.a gcc -g -o ./uvmap.exe ./uvmap.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -luvmap -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/util' touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegads.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegads.so (cd .; gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegads.so \ egadsGeom.o egadsHLevel.o egadsTopo.o egadsCopy.o egadsSpline.o egadsIO.o egadsSplineFit.o egadsSkinning.o egadsTessSens.o BRepLib_FuseEdges.o egadsBase.o egadsMemory.o egadsAttrs.o egadsTess.o egadsTessInp.o egadsTris.o egadsQuads.o egadsFit.o egadsRobust.o egadsSBO.o prmCfit.o prmGrid.o prmUV.o egadsExport.o egadsEffect.o egadsSolids.o emp.o evaluate.o rational.o regQuads.o \ -L/home/jenkins/util/ESP/OpenCASCADE-7.6.0/./lib -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -luvmap -lTKBool -lTKernel -lTKFeat -lTKBO -lTKGeomAlgo -lTKMath -lTKOffset -lTKPrim -lTKTopAlgo -lTKBRep -lTKG2d -lTKG3d -lTKGeomBase -lTKShHealing -lTKSTEP -lTKSTEP209 -lTKSTEPBase -lTKSTEPAttr -lTKXSBase -lTKIGES -lTKFillet -pthread -lm -lstdc++ -lrt ) touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadstatic.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadstatic.a cp /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libuvmap.a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadstatic.a (cd .; \ ar rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadstatic.a egadsBase.o egadsMemory.o egadsAttrs.o egadsTess.o egadsTessInp.o egadsTris.o egadsQuads.o egadsFit.o egadsRobust.o egadsSBO.o prmCfit.o prmGrid.o prmUV.o egadsExport.o egadsEffect.o egadsSolids.o egadsGeom.o egadsHLevel.o egadsTopo.o egadsCopy.o egadsSpline.o egadsIO.o egadsSplineFit.o egadsSkinning.o egadsTessSens.o BRepLib_FuseEdges.o emp.o \ evaluate.o rational.o regQuads.o; ) gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsBase.c -o ./fgadsBase.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsMemory.c -o ./fgadsMemory.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsAttrs.c -o ./fgadsAttrs.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsTess.c -o ./fgadsTess.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsHLevel.c -o ./fgadsHLevel.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsGeom.c -o ./fgadsGeom.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include fgadsTopo.c -o ./fgadsTopo.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libfgads.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libfgads.a (cd .; ar rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libfgads.a fgadsBase.o fgadsMemory.o fgadsAttrs.o fgadsTess.o fgadsHLevel.o fgadsGeom.o fgadsTopo.o; ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libfgads.a touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libemp.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libemp.a (cd .; ar rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libemp.a emp.o; ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libemp.a *** EGADS Build Complete! *** make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/src' (cd EGADS/lite; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/lite' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteBase.c -o ./liteBase.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteMemory.c -o ./liteMemory.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteGeom.c -o ./liteGeom.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteTopo.c -o ./liteTopo.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteAttrs.c -o ./liteAttrs.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteImport.c -o ./liteImport.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src -I../util/uvmap \ liteString.c -o ./liteString.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I. -I../src \ ../src/egadsTess.c -o ./liteTess.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I. -I../src \ ../src/egadsTris.c -o ./liteTris.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I. -I../src \ ../src/egadsQuads.c -o ./liteQuads.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I. -I../src \ -I../util ../src/egadsTessInp.c -o ./liteTessInp.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 ../src/egadsRobust.c \ -o ./egadsRobust.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLINUX64 -I../include ../util/emp.c \ -o ./emp.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I. -I../src \ ../util/evaluate.c -o ./evaLite.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE ../util/rational.c \ -o ./ratLite.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I../util \ ../util/regQuads.c -o ./liteRegQuads.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I. -I../src \ ../src/egadsEffect.c -o ./liteEffect.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DLITE -I../include -I. -I../src \ -I../util/uvmap liteUVmap.c -o ./liteUVmap.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslite.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslite.so (cd .; gcc -g -shared -Wl,-no-undefined \ -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslite.so liteBase.o liteMemory.o liteGeom.o liteTopo.o liteAttrs.o liteImport.o liteString.o liteTess.o liteTris.o \ liteQuads.o liteTessInp.o egadsRobust.o emp.o evaLite.o \ ratLite.o liteRegQuads.o liteEffect.o liteUVmap.o \ -lpthread -lm ) touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslitestatic.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslitestatic.a (cd .; ar rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslitestatic.a liteBase.o liteMemory.o liteGeom.o liteTopo.o liteAttrs.o liteImport.o liteString.o \ liteTess.o liteTris.o liteQuads.o liteTessInp.o egadsRobust.o \ emp.o evaLite.o ratLite.o liteRegQuads.o liteEffect.o \ liteUVmap.o ; ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libegadslitestatic.a make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/lite' (cd wvServer; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/wvServer' g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 map.cpp -o ./map.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include base64-decode.c -o ./base64-decode.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include handshake.c -o ./handshake.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include client-handshake.c -o ./client-handshake.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include libwebsockets.c -o ./libwebsockets.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include extension-deflate-stream.c -o ./extension-deflate-stream.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include md5.c -o ./md5.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include extension-x-google-mux.c -o ./extension-x-google-mux.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include parsers.c -o ./parsers.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include extension.c -o ./extension.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include sha-1.c -o ./sha-1.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include server.c -o ./server.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include wv.c -o ./wv.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include browserMessage.c -o ./browserMessage.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include fwv.c -o ./fwv.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.a (cd .; ar rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.a map.o base64-decode.o handshake.o client-handshake.o libwebsockets.o extension-deflate-stream.o md5.o extension-x-google-mux.o parsers.o extension.o sha-1.o server.o wv.o browserMessage.o \ ./fwv.o; ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.a gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DLWS_NO_FORK -DSTANDALONE server.c \ -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -o ./servertest.o g++ -g -o ./server ./servertest.o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.a \ -lpthread -lz -lm touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.so (cd .; g++ -g -shared -Wl,-no-undefined \ -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libwsserver.so base64-decode.o handshake.o client-handshake.o libwebsockets.o extension-deflate-stream.o md5.o extension-x-google-mux.o parsers.o extension.o sha-1.o server.o wv.o browserMessage.o map.o -lz -lpthread) make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/wvServer' (cd EGADS/examples; make -f egads2cart.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include egads2cart.c \ -o ./egads2cart.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/egads2cart ./egads2cart.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -legads \ -lrt -lm make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' (cd EGADS/examples; make -f vCurvature.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include vCurvature.c -o ./vCurvature.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/vCurvature ./vCurvature.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lwsserver -legads -lrt make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' (cd EGADS/examples; make -f vGeom.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include vGeom.c -o ./vGeom.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/vGeom ./vGeom.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lwsserver -legads \ -lrt make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' (cd EGADS/examples; make -f vTess.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include vTess.c -o ./vTess.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include ../util/retessFaces.c \ -o ./retessFaces.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/vTesstatic ./vTess.o ./retessFaces.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lwsserver -legadstatic -L/home/jenkins/util/ESP/OpenCASCADE-7.6.0/./lib -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lTKBool -lTKernel -lTKFeat -lTKBO -lTKGeomAlgo -lTKMath -lTKOffset -lTKPrim -lTKTopAlgo -lTKBRep -lTKG2d -lTKG3d -lTKGeomBase -lTKShHealing -lTKSTEP -lTKSTEP209 -lTKSTEPBase -lTKSTEPAttr -lTKXSBase -lTKIGES -lTKFillet -pthread -lm \ -lpthread -lz -lrt -lm g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/vTess ./vTess.o ./retessFaces.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lwsserver -legads -lrt make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/EGADS/examples' (cd OpenCSM; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/OpenCSM' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. serveCSM.c -o ./serveCSM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. OpenCSM.c -o ./OpenCSM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udp.c -o ./udp.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. tim.c -o ./tim.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libocsm.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libocsm.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libocsm.so ./OpenCSM.o ./udp.o ./tim.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -legads -lwsserver -ldl -lrt -lm g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/serveCSM ./serveCSM.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lrt gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. serveESP.c -o ./serveESP.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/serveESP ./serveESP.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lrt gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. sensCSM.c -o ./sensCSM.o gcc -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/sensCSM ./sensCSM.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lrt -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpBezier.c -o ./udpBezier.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/bezier.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/bezier.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/bezier.so ./udpBezier.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpBiconvex.c -o ./udpBiconvex.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/biconvex.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/biconvex.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/biconvex.so ./udpBiconvex.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpBox.c -o ./udpBox.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/box.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/box.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/box.so ./udpBox.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpBspline.c -o ./udpBspline.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/bspline.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/bspline.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/bspline.so ./udpBspline.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfCatmull.c -o ./udfCatmull.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/catmull.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/catmull.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/catmull.so ./udfCatmull.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfCompare.c -o ./udfCompare.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/compare.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/compare.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/compare.so ./udfCompare.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfCreateBEM.c -o ./udfCreateBEM.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/createBEM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/createBEM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/createBEM.so ./udfCreateBEM.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfCreatePoly.c -o ./udfCreatePoly.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/createPoly.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/createPoly.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/createPoly.so ./udfCreatePoly.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpCsm.c -o ./udpCsm.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/csm.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/csm.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/csm.so ./udpCsm.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfDeform.c -o ./udfDeform.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/deform.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/deform.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/deform.so ./udfDeform.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfDroop.c -o ./udfDroop.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/droop.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/droop.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/droop.so ./udfDroop.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfDumpPmtrs.c -o ./udfDumpPmtrs.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/dumpPmtrs.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/dumpPmtrs.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/dumpPmtrs.so ./udfDumpPmtrs.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfEditAttr.c -o ./udfEditAttr.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/editAttr.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/editAttr.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/editAttr.so ./udfEditAttr.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpEllipse.c -o ./udpEllipse.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ellipse.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ellipse.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ellipse.so ./udpEllipse.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpEqn2body.c -o ./udpEqn2body.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/eqn2body.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/eqn2body.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/eqn2body.so ./udpEqn2body.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpFitcurve.c -o ./udpFitcurve.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/fitcurve.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/fitcurve.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/fitcurve.so ./udpFitcurve.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfFlend.c -o ./udfFlend.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/flend.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/flend.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/flend.so ./udfFlend.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpFreeform.c -o ./udpFreeform.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/freeform.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/freeform.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/freeform.so ./udpFreeform.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfGanged.c -o ./udfGanged.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ganged.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ganged.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ganged.so ./udfGanged.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfGuide.c -o ./udfGuide.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/guide.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/guide.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/guide.so ./udfGuide.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpHex.c -o ./udpHex.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/hex.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/hex.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/hex.so ./udpHex.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpImport.c -o ./udpImport.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/import.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/import.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/import.so ./udpImport.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpKulfan.c -o ./udpKulfan.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/kulfan.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/kulfan.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/kulfan.so ./udpKulfan.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfLinalg.c -o ./udfLinalg.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/linalg.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/linalg.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/linalg.so ./udfLinalg.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfMatchBodys.c -o ./udfMatchBodys.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/matchBodys.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/matchBodys.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/matchBodys.so ./udfMatchBodys.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfMechanism.c -o ./udfMechanism.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mechanism.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mechanism.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mechanism.so ./udfMechanism.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpNaca.c -o ./udpNaca.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca.so ./udpNaca.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfNaca6mc.c -o ./udfNaca6mc.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca6mc.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca6mc.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca6mc.so ./udfNaca6mc.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfNacelle.c -o ./udfNacelle.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nacelle.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nacelle.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nacelle.so ./udfNacelle.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpNurbbody.c -o ./udpNurbbody.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nurbbody.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nurbbody.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nurbbody.so ./udpNurbbody.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfNuscale.c -o ./udfNuscale.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nuscale.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nuscale.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nuscale.so ./udfNuscale.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfOffset.c -o ./udfOffset.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/offset.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/offset.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/offset.so ./udfOffset.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpParabaloid.c -o ./udpParabaloid.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/parabaloid.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/parabaloid.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/parabaloid.so ./udpParabaloid.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpParsec.cpp -o ./udpParsec.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/parsec.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/parsec.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/parsec.so ./udpParsec.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpPod.c -o ./udpPod.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pod.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pod.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pod.so ./udpPod.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpPoly.c -o ./udpPoly.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/poly.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/poly.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/poly.so ./udpPoly.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfPrintBbox.c -o ./udfPrintBbox.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printBbox.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printBbox.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printBbox.so ./udfPrintBbox.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfPrintBrep.c -o ./udfPrintBrep.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printBrep.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printBrep.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printBrep.so ./udfPrintBrep.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfPrintEgo.c -o ./udfPrintEgo.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printEgo.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printEgo.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/printEgo.so ./udfPrintEgo.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpProp.c -o ./udpProp.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/prop.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/prop.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/prop.so ./udpProp.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpRadwaf.c -o ./udpRadwaf.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/radwaf.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/radwaf.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/radwaf.so ./udpRadwaf.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpSample.c -o ./udpSample.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/sample.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/sample.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/sample.so ./udpSample.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpSew.c -o ./udpSew.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/sew.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/sew.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/sew.so ./udpSew.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfShadow.c -o ./udfShadow.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/shadow.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/shadow.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/shadow.so ./udfShadow.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfSlices.c -o ./udfSlices.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/slices.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/slices.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/slices.so ./udfSlices.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpStag.c -o ./udpStag.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/stag.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/stag.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/stag.so ./udpStag.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfStiffener.c -o ./udfStiffener.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/stiffener.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/stiffener.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/stiffener.so ./udfStiffener.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpSupell.c -o ./udpSupell.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/supell.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/supell.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/supell.so ./udpSupell.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udfTester1.c -o ./udfTester1.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tester1.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tester1.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tester1.so ./udfTester1.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpTester2.c -o ./udpTester2.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tester2.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tester2.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tester2.so ./udpTester2.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpVsp3.c -o ./udpVsp3.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/vsp3.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/vsp3.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/vsp3.so ./udpVsp3.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpWaffle.c -o ./udpWaffle.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/waffle.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/waffle.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/waffle.so ./udpWaffle.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpWarp.c -o ./udpWarp.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/warp.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/warp.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/warp.so ./udpWarp.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. eggPDT2.c -o ./eggPDT2.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/PDT2.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/PDT2.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/PDT2.so ./eggPDT2.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. udpNaca456.c -o ./udpNaca456.o gfortran -g -c -O -fPIC -frecursive -fcray-pointer naca456.f90 -o ./naca456.o -J . touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca456.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca456.so gfortran -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/naca456.so ./udpNaca456.o ./naca456.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -legads -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timEreped.c -o ./timEreped.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ereped.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ereped.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ereped.so ./timEreped.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timMitten.c -o ./timMitten.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mitten.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mitten.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mitten.so ./timMitten.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lrt gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timPlotter.c -o ./timPlotter.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/plotter.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/plotter.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/plotter.so ./timPlotter.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lrt gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timPlugs.c -o ./timPlugs.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/plugs.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/plugs.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/plugs.so ./timPlugs.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lrt gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timSlugs.c -o ./timSlugs.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/slugs.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/slugs.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/slugs.so ./timSlugs.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timVspSetup.c -o ./timVspSetup.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/vspSetup.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/vspSetup.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/vspSetup.so ./timVspSetup.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -locsm -lwsserver -legads -ldl -lm touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/OpenCSM.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/OpenCSM.h cp -p OpenCSM.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/OpenCSM.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/common.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/common.h cp -p common.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/common.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udp.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udp.h cp -p udp.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udp.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/esp.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/esp.h cp -p esp.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/esp.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/tim.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/tim.h cp -p tim.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/tim.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egg.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egg.h cp -p egg.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/egg.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udpUtilities.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udpUtilities.h cp -p udpUtilities.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udpUtilities.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udpUtilities.c rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udpUtilities.c cp -p udpUtilities.c /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/udpUtilities.c make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/OpenCSM' (cd Slugs; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/Slugs' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. Slugs.c -o ./Slugs.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. Fitter.c \ -o ./Fitter.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. RedBlackTree.c \ -o ./RedBlackTree.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. Tessellate.c \ -o ./Tessellate.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/Slugs ./Slugs.o ./Fitter.o ./RedBlackTree.o \ ./Tessellate.o -lrt -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lwsserver -legads \ -lpthread -lz -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. TestFit.c -o ./TestFit.o g++ -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/TestFit ./TestFit.o ./Fitter.o -lrt -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -legads \ -lpthread -lz -lm make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/Slugs' (cd CAPS; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS' /bin/cp Cart3D/X86_64_GCC/libc3dio.a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libc3dio.a /bin/cp Cart3D/X86_64_GCC/libCart3D.a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libCart3D.a /bin/cp Executables/LINUX64/avl /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/avl /bin/cp Executables/LINUX64/pplot /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/pplot /bin/cp Executables/LINUX64/pxplot /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/pxplot /bin/cp Executables/LINUX64/xfoil /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/xfoil /bin/cp Executables/LINUX64/mastros.exe /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/mastros.exe /bin/cp Executables/LINUX64/ASTRO.D01 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/ASTRO.D01 /bin/cp Executables/LINUX64/ASTRO.IDX /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/ASTRO.IDX /bin/cp Executables/LINUX64/mses /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/mses /bin/cp Executables/LINUX64/mset /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/mset /bin/cp Libraries/LINUX64/aflr2AIM.so /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/aflr2AIM.so /bin/cp Libraries/LINUX64/aflr3AIM.so /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/aflr3AIM.so /bin/cp Libraries/LINUX64/aflr4AIM.so /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/aflr4AIM.so make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS' (cd CAPS/src; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/src' touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/caps.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/caps.h cp -p ../include/caps.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/caps.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/capsErrors.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/capsErrors.h cp -p ../include/capsErrors.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/capsErrors.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/capsTypes.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/capsTypes.h cp -p ../include/capsTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/capsTypes.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aimUtil.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aimUtil.h cp -p ../include/aimUtil.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aimUtil.h touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aimMesh.h rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aimMesh.h cp -p ../include/aimMesh.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aimMesh.h gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../include \ -I/usr/include/udunits2 aimUtil.c -o ./aimUtil.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include \ -I/usr/include/udunits2 aimTransferUtil.c \ -o ./aimTransferUtil.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../include \ aimMesh.c -o ./aimMesh.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libaimUtil.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libaimUtil.a ar -rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libaimUtil.a ./aimUtil.o ./aimMesh.o \ ./aimTransferUtil.o ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libaimUtil.a gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsBase.c -o ./capsBase.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAnalysis.c -o ./capsAnalysis.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsApprox.c -o ./capsApprox.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAttr.c -o ./capsAttr.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsAIM.c -o ./capsAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsBound.c -o ./capsBound.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsProblem.c -o ./capsProblem.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsValue.c -o ./capsValue.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsUnits.c -o ./capsUnits.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 conjGrad.c -o ./conjGrad.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsFunIDs.c -o ./capsFunIDs.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 capsChkInpt.c -o ./capsChkInpt.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. \ -I/usr/include/udunits2 printObject.c -o ./printObject.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcaps.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcaps.so (cd .; gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcaps.so \ capsBase.o capsAnalysis.o capsApprox.o capsAttr.o capsAIM.o capsBound.o capsProblem.o capsValue.o capsUnits.o conjGrad.o capsFunIDs.o capsChkInpt.o printObject.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -ludunits2 -locsm -legads -ldl \ -lrt -lm ) touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcapsstatic.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcapsstatic.a (cd .; ar -rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcapsstatic.a capsBase.o capsAnalysis.o capsApprox.o capsAttr.o capsAIM.o capsBound.o capsProblem.o capsValue.o capsUnits.o conjGrad.o capsFunIDs.o capsChkInpt.o printObject.o ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libcapsstatic.a gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include testingAIM.c \ -o ./testingAIM.o rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingAIM.so rm: cannot remove ‘/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingAIM.so’: No such file or directory make[1]: [/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingAIM.so] Error 1 (ignored) gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingAIM.so ./testingAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -lrt -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include testingWriter.c \ -o ./testingWriter.o rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingWriter.so rm: cannot remove ‘/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingWriter.so’: No such file or directory make[1]: [/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingWriter.so] Error 1 (ignored) gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/testingWriter.so ./testingWriter.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -ldl -lrt -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include phaseUtil.c \ -o ./phaseUtil.o gcc -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/phaseUtil ./phaseUtil.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -ludunits2 -locsm -legads -ldl -lrt -lm make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/src' (cd ../CAPSexamples; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples' (make -C cCAPS -f aeroelastic_SU2.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include aeroelasticSimple_Iterative_SU2_and_MystranTest.c -o ./aeroelasticSimple_Iterative_SU2_and_MystranTest.o gcc -g -o ./aeroelasticSimple_Iterative_SU2_and_MystranTest ./aeroelasticSimple_Iterative_SU2_and_MystranTest.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f avlTest.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include avlTest.c -o ./avlTest.o gcc -g -o ./avlTest ./avlTest.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f awaveTest.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include awaveTest.c -o ./awaveTest.o gcc -g -o ./awaveTest ./awaveTest.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f frictionTest.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include frictionTest.c -o ./frictionTest.o gcc -g -o ./frictionTest ./frictionTest.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f fun3d.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include fun3dAFLR2Test.c -o ./fun3dAFLR2Test.o gcc -g -o ./fun3dAFLR2Test ./fun3dAFLR2Test.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include fun3dTetgenTest.c -o ./fun3dTetgenTest.o gcc -g -o ./fun3dTetgenTest ./fun3dTetgenTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include aeroelasticTest.c -o ./aeroelasticTest.o gcc -g -o ./aeroelasticTest ./aeroelasticTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f hsm.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include hsmTest.c -o ./hsmTest.o gcc -g -o ./hsmTest ./hsmTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include hsmSimplePlateTest.c -o ./hsmSimplePlateTest.o gcc -g -o ./hsmSimplePlateTest ./hsmSimplePlateTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include hsmCantileverPlateTest.c -o ./hsmCantileverPlateTest.o gcc -g -o ./hsmCantileverPlateTest ./hsmCantileverPlateTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include hsmJoinedPlateTest.c -o ./hsmJoinedPlateTest.o gcc -g -o ./hsmJoinedPlateTest ./hsmJoinedPlateTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f interferenceTest.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include interferenceTest.c \ -o ./interferenceTest.o gcc -g -o ./interferenceTest ./interferenceTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f msesTest.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include msesTest.c -o ./msesTest.o gcc -g -o ./msesTest ./msesTest.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -legads -locsm \ -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f mystran.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include mystranTest.c -o ./mystranTest.o gcc -g -o ./mystranTest ./mystranTest.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f pointwiseTest.make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include pointwiseTest.c -o ./pointwiseTest.o gcc -g -o ./pointwiseTest ./pointwiseTest.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -legads \ -ludunits2 -lrt -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples' (cd CAPS/aim; make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim' (cd utils; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/utils' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython attrUtils.c -o ./attrUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython meshUtils.c -o ./meshUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cfdUtils.c -o ./cfdUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython miscUtils.c -o ./miscUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython feaUtils.c -o ./feaUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython vlmUtils.c -o ./vlmUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython nastranUtils.c -o ./nastranUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython tecplotUtils.c -o ./tecplotUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython arrayUtils.c -o ./arrayUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython deprecateUtils.c -o ./deprecateUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cardUtils.c -o ./cardUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython nastranCards.c -o ./nastranCards.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython jsonUtils.c -o ./jsonUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython cython/nastranOP2Reader.c -o ./nastranOP2Reader.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. vlmSpanSpace.cpp -o ./vlmSpanSpace.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libutils.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libutils.a (cd .; ar -rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libutils.a attrUtils.o meshUtils.o cfdUtils.o miscUtils.o feaUtils.o vlmUtils.o nastranUtils.o tecplotUtils.o arrayUtils.o deprecateUtils.o cardUtils.o nastranCards.o jsonUtils.o nastranOP2Reader.o vlmSpanSpace.o ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libutils.a mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils cp -p -f attrUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/attrUtils.h cp -p -f nastranCards.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/nastranCards.h cp -p -f cfdTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/cfdTypes.h cp -p -f arrayUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/arrayUtils.h cp -p -f meshUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/meshUtils.h cp -p -f feaTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/feaTypes.h cp -p -f miscUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/miscUtils.h cp -p -f meshTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/meshTypes.h cp -p -f attrTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/attrTypes.h cp -p -f vlmTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/vlmTypes.h cp -p -f feaUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/feaUtils.h cp -p -f cfdUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/cfdUtils.h cp -p -f vlmUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/vlmUtils.h cp -p -f cardTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/cardTypes.h cp -p -f jsonUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/jsonUtils.h cp -p -f nastranUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/nastranUtils.h cp -p -f deprecateUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/deprecateUtils.h cp -p -f miscTypes.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/miscTypes.h cp -p -f tecplotUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/tecplotUtils.h cp -p -f vlmSpanSpace.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/vlmSpanSpace.h cp -p -f cardUtils.h /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include/aim/utils/cardUtils.h make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/utils' (cd avl; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/avl' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils avlAIM.c -o ./avlAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_ELE.c -o avlRead_ELE.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATB.c -o avlRead_DERMATB.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_HINGE.c -o avlRead_HINGE.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_BODY.c -o avlRead_BODY.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_CNC.c -o avlRead_CNC.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_TOT.c -o avlRead_TOT.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATS.c -o avlRead_DERMATS.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_STRP.c -o avlRead_STRP.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_VM.c -o avlRead_VM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_CPOML.c -o avlRead_CPOML.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_DERMATM.c -o avlRead_DERMATM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -Wno-unused-function -Iavlmrf avlmrf/avlRead_SURF.c -o avlRead_SURF.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/avlAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/avlAIM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/avlAIM.so ./avlAIM.o ./avlRead_ELE.o ./avlRead_DERMATB.o ./avlRead_HINGE.o ./avlRead_BODY.o ./avlRead_CNC.o ./avlRead_TOT.o ./avlRead_DERMATS.o ./avlRead_STRP.o ./avlRead_VM.o ./avlRead_CPOML.o ./avlRead_DERMATM.o ./avlRead_SURF.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -lutils -locsm -legads -ludunits2 -ldl \ -lstdc++ -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/avl' (cd cbaero; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/cbaero' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I. -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils -I../meshWriter/fastWriter cbaeroAIM.c -o cbaeroAIM.o gcc -g -shared -Wl,-no-undefined cbaeroAIM.o -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/cbaeroAIM.so -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -lm -ldl make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/cbaero' (cd delaundo; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/delaundo' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils delaundoAIM.c \ -o ./delaundoAIM.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/delaundoAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/delaundoAIM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/delaundoAIM.so ./delaundoAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/delaundo' (cd egadsTess; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/egadsTess' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils egadsTessAIM.c \ -o ./egadsTessAIM.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/egadsTessAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/egadsTessAIM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/egadsTessAIM.so ./egadsTessAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/egadsTess' (cd friction; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/friction' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -Wno-format -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils frictionAIM.c \ -o ./frictionAIM.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/frictionAIM.so ./frictionAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm gfortran -g -c -O -fPIC -frecursive -fcray-pointer friction_eja_mod.f -o ./friction.o gfortran -g -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin/friction ./friction.o make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/friction' (cd fun3d; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/fun3d' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DHAVE_PYTHON -DCYTHON_PEP489_MULTI_PHASE_INIT=0 \ -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils -I../meshWriter/ugridWriter \ -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython fun3dAIM.c -o ./fun3dAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils -I../meshWriter/ugridWriter \ fun3dUtils.c -o ./fun3dUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DCYTHON_PEP489_MULTI_PHASE_INIT=0 \ -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils \ -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 cython/fun3dNamelist.c -o ./fun3dNamelist.o Building FUN3D with Python gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/fun3dAIM.so ./fun3dAIM.o ./fun3dUtils.o \ ./fun3dNamelist.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -ldl -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lm -Wl,-rpath /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/fun3d' (cd abaqus; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/abaqus' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils \ abaqusAIM.c -o ./abaqusAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -DHAVE_PYTHON -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 -Icython -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils \ abaqusUtils.c -o ./abaqusUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils \ -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 cython/abaqusFILReader.c -o ./abaqusFILReader.o echo "Building Abaqus with Python" Building Abaqus with Python gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/abaqusAIM.so ./abaqusAIM.o ./abaqusUtils.o ./abaqusFILReader.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -lm -ldl -Wl,-rpath /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/abaqus' (cd mystran; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/mystran' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils mystranAIM.c \ -o ./mystranAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils mystranUtils.c \ -o ./mystranUtils.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/mystranAIM.so ./mystranAIM.o ./mystranUtils.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/mystran' (cd masstran; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/masstran' g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils masstranAIM.cpp \ -o ./masstranAIM.o g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/masstranAIM.so ./masstranAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/masstran' (cd nastran; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/nastran' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils nastranAIM.c \ -o ./nastranAIM.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/nastranAIM.so ./nastranAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/nastran' (cd astros; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/astros' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils astrosAIM.c \ -o ./astrosAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils astrosUtils.c \ -o ./astrosUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils astrosCards.c \ -o ./astrosCards.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/astrosAIM.so ./astrosAIM.o ./astrosUtils.o ./astrosCards.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/astros' (cd hsm; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils hsmAIM.c \ -o src/hsmAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils hsmUtils.c \ -o src/hsmUtils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils hsmAdj.c \ -o src/hsmAdj.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 -Wno-comment rcm/rcm.cpp -DREVISION=7.6 \ -o src/rcm.o g++ -g -c -O -fPIC -DOCC_CONVERT_SIGNALS -D_GNU_SOURCE=1 -DNDEBUG -DNo_Exception -D_OCC64 -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -std=c++11 rcm/genrcmi.cpp -DREVISION=7.6 \ -o src/genrcmi.o make -C src hsmglr.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmglr.f -o ./hsmglr.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmbc.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmbc.f -o ./hsmbc.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmbb2.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmbb2.f -o ./hsmbb2.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmre1.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmre1.f -o ./hsmre1.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmdep.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmdep.f -o ./hsmdep.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmrfm.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmrfm.f -o ./hsmrfm.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmout.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmout.f -o ./hsmout.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmren.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmren.f -o ./hsmren.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmprj.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmprj.f -o ./hsmprj.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src atanc.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero atanc.f -o ./atanc.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src cross.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero cross.f -o ./cross.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src ludcmp.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero ludcmp.f -o ./ludcmp.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmabd.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmabd.f -o ./hsmabd.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src bmdump.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero bmdump.f -o ./bmdump.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmrun.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero -fno-recursive hsmrun.f -o ./hsmrun.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmeqn.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmeqn.f -o ./hsmeqn.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmsol.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmsol.f -o ./hsmsol.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src hsmgeo.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero hsmgeo.f -o ./hsmgeo.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src sbsolve.o make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' gfortran -g -c -O -fPIC -frecursive -fcray-pointer -fdefault-real-8 -fbounds-check -ffpe-trap=invalid,zero sbsolve.f -o ./sbsolve.o make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' make -C src /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libhsm.a make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libhsm.a rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libhsm.a (cd .; ar -rs /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libhsm.a hsmsol.o hsmdep.o hsmout.o hsmgeo.o hsmabd.o hsmeqn.o hsmbc.o hsmprj.o hsmren.o hsmre1.o hsmrfm.o hsmbb2.o hsmglr.o ludcmp.o sbsolve.o atanc.o bmdump.o cross.o ) ar: creating /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libhsm.a make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm/src' touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/hsmAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/hsmAIM.so gfortran -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/hsmAIM.so \ src/hsmAIM.o src/hsmUtils.o src/hsmAdj.o \ src/rcm.o src/genrcmi.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -lhsm -ldl -lstdc++ make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/hsm' (cd skeleton; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/skeleton' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils skeletonAIM.c \ -o ./skeletonAIM.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/skeletonAIM.so ./skeletonAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/skeleton' (cd su2; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/su2' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../meshWriter/su2Writer -I../utils su2AIM.c \ -o ./su2AIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils su2Utils.c \ -o ./su2Utils.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils su2_4_Cardinal.c \ -o ./su2_4_Cardinal.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils su2_5_Raven.c \ -o ./su2_5_Raven.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils su2_6_Falcon.c \ -o ./su2_6_Falcon.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils su2_7_Blackbird.c \ -o ./su2_7_Blackbird.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils su2_8_Harrier.c \ -o ./su2_8_Harrier.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/su2AIM.so ./su2AIM.o ./su2Utils.o ./su2_4_Cardinal.o ./su2_5_Raven.o ./su2_6_Falcon.o ./su2_7_Blackbird.o ./su2_8_Harrier.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/su2' (cd tacs; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/tacs' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils tacsAIM.c \ -o ./tacsAIM.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tacsAIM.so ./tacsAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/tacs' (cd tsfoil; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/tsfoil' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils \ tsfoilAIM.c -o ./tsfoilAIM.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tsfoilAIM.so ./tsfoilAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/tsfoil' (cd xfoil; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/xfoil' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils \ xfoilAIM.c -o ./xfoilAIM.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/xfoilAIM.so ./xfoilAIM.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/xfoil' (cd mses; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/mses' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils msesAIM.c -o msesAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils msesUtils.c -o msesUtils.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/msesAIM.so ./msesAIM.o ./msesUtils.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/mses' (cd interference; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/interference' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include interferenceAIM.c \ -o ./interferenceAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. cloudFns.c \ -o ./cloudFns.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/interferenceAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/interferenceAIM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/interferenceAIM.so \ ./interferenceAIM.o ./cloudFns.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib \ -laimUtil -locsm -legads -ludunits2 -ldl -lstdc++ -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/interference' (cd tetgen; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/tetgen' g++ -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils tetgenAIM.cpp \ -o ./tetgenAIM.o g++ -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I/home/jenkins/util/tetgen/tetgen1.6.0 -I../utils \ -DTETLIBRARY tetgen_Interface.cpp -o ./tetgen_Interface.o g++ -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DTETLIBRARY -w /home/jenkins/util/tetgen/tetgen1.6.0/tetgen.cxx -o ./tetgen.o g++ -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -O0 -w /home/jenkins/util/tetgen/tetgen1.6.0/predicates.cxx -o ./predicates.o g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/tetgenAIM.so ./tetgenAIM.o \ ./tetgen_Interface.o ./tetgen.o \ ./predicates.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm \ -legads -ludunits2 -ldl -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/tetgen' (cd cart3d; make) make[2]: xml2-config: Command not found make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/cart3d' XML developer libarary missing. Not compiling cart3dAIM. make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/cart3d' (cd pointwise; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/pointwise' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils pointwiseAIM.c \ -o ./pointwiseAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils hashElement.c \ -o ./hashElement.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pointwiseAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pointwiseAIM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pointwiseAIM.so ./pointwiseAIM.o ./hashElement.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -lrt -lm -lpthread make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/pointwise' (cd refine; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/refine' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I../utils -I../meshWriter/libMeshbWriter refineAIM.c \ -o ./refineAIM.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include \ -I../meshWriter/libMeshbWriter/libMeshb/sources \ ../meshWriter/libMeshbWriter/libMeshb/sources/libmeshb7.c \ -o ./libmeshb7.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/refineAIM.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/refineAIM.so gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/refineAIM.so ./refineAIM.o ./libmeshb7.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lutils -laimUtil -locsm -legads -ludunits2 -ldl \ -lrt -lm make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/refine' (cd meshWriter; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter' make -C libMeshbWriter make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/libMeshbWriter' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include libMeshbWriter.c \ -o ./libMeshbWriter.o gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -IlibMeshb/sources -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include libMeshb/sources/libmeshb7.c \ -o ./libmeshb7.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/libMeshbWriter.so ./libMeshbWriter.o ./libmeshb7.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -lrt -lm make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/libMeshbWriter' make -C fastWriter make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/fastWriter' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include fastWriter.c \ -o ./fastWriter.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/fastWriter.so ./fastWriter.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -lrt -lm make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/fastWriter' make -C su2Writer make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/su2Writer' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include su2Writer.c \ -o ./su2Writer.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/su2Writer.so ./su2Writer.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -lrt -lm make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/su2Writer' make -C ugridWriter make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/ugridWriter' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I../include -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include ugridWriter.c \ -o ./ugridWriter.o gcc -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/ugridWriter.so ./ugridWriter.o \ -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -laimUtil -legads -locsm -ludunits2 -ldl -lrt -lm make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/ugridWriter' make -C exodusWriter make[3]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/exodusWriter' make[3]: Nothing to be done for `default'. make[3]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter/exodusWriter' make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/meshWriter' (cd custom; make) make[2]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/custom' make[2]: Nothing to be done for `default'. make[2]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/custom' make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim' (cd OpenCSM; make -f serveESP.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/OpenCSM' gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timCapsMode.c -o ./timCapsMode.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/capsMode.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/capsMode.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/capsMode.so ./timCapsMode.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timFlowchart.c -o ./timFlowchart.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/flowchart.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/flowchart.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/flowchart.so ./timFlowchart.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. timViewer.c -o ./timViewer.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/viewer.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/viewer.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/viewer.so ./timViewer.o -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lm gcc -g -c -O -fno-unit-at-a-time -fPIC -Wall -Wno-dangling-else -Wno-parentheses -Wno-unused-result -Wno-format-truncation -DREVISION=7.6 -I/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/include -I. -I/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/include/python3.11 timPyscript.c -o ./timPyscript.o touch /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pyscript.so rm /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pyscript.so g++ -g -shared -Wl,-no-undefined -o /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib/pyscript.so ./timPyscript.o -L/home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib -lpython3.11 -L/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/lib -lcaps -locsm -lwsserver -legads -ldl -lrt -Wl,-rpath /home/jenkins/util/ESP/Python/ESP_Python-3.11.6/lib make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/OpenCSM' *** Build Completed! *** + export CSMDATA=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data + CSMDATA=/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/bin ++ uname -a + [[ Linux reynolds-centOS7 3.10.0-1160.108.1.el7.x86_64 #1 SMP Thu Jan 25 16:17:31 UTC 2024 x86_64 x86_64 x86_64 GNU/Linux == *\D\a\r\w\i\n* ]] + sed -i 's/.*capsExamples.*/#&/' /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/Verification.sh + bash -ex /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/Verification.sh + expectSuccess 01 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/expressions.vfy", so verification is being skipped ERROR:: (func_arg_out_of_bounds) in Branch Brch_000152 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:169]] ibeg must be be between 1 and strlen(str) when evaluating "slice(e3,0,999)" ERROR:: problem evaluating argument 2 (slice(e3,0,999)) for Branch 152 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (func_arg_out_of_bounds) in Branch Brch_000158 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:176]] ibeg must be be between 1 and strlen(str) when evaluating "slice(e3,14,999)" ERROR:: problem evaluating argument 2 (slice(e3,14,999)) for Branch 158 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (func_arg_out_of_bounds) in Branch Brch_000164 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:183]] iend must not be less than ibeg when evaluating "slice(e3,4,-1)" ERROR:: problem evaluating argument 2 (slice(e3,4,-1)) for Branch 164 (set) --> catching signal -258 (func_arg_out_of_bounds) ERROR:: (illegal_pmtr_index) in Branch Brch_000317 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:384]] index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000332 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:402]] index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000346 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:418]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000360 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:434]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000367 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:442]] row index must be between 1 and 2 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000374 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:450]] column index must be between 1 and 3 --> catching signal -262 (illegal_pmtr_index) ERROR:: (illegal_pmtr_index) in Branch Brch_000381 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:458]] column index must be between 1 and 3 --> catching signal -262 (illegal_pmtr_index) ERROR:: (UNKNOWN) in Branch Brch_001805 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:1914]] signal 1 thrown by user --> catching signal 1 (UNKNOWN) ERROR:: (illegal_value) in Branch Brch_001813 at [[/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/expressions.csm:1924]] vertex and base must be different --> catching signal -225 (illegal_value) ==> serveESP completed successfully with no verification data real 0m0.101s user 0m0.081s sys 0m0.019s + set +x ============================================= ESP verification case 01 passed (as expected) ============================================= + expectSuccess 02 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/demo2 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/demo2 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/demo2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.437s user 0m0.604s sys 0m0.046s + set +x ============================================= ESP verification case 02 passed (as expected) ============================================= + expectSuccess 03 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/tutorial1_whole + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/tutorial1_whole WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/verify_7.6.0/tutorial1_whole.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m2.666s user 0m4.494s sys 0m0.161s + set +x ============================================= ESP verification case 03 passed (as expected) ============================================= + expectSuccess 04 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/design2 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/design2 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/design2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.715s user 0m0.865s sys 0m0.024s + set +x ============================================= ESP verification case 04 passed (as expected) ============================================= + expectSuccess 05 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/design3 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/design3 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/design3.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.685s user 0m0.911s sys 0m0.020s + set +x ============================================= ESP verification case 05 passed (as expected) ============================================= + expectSuccess 06 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/tutorial2 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/tutorial2 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/verify_7.6.0/tutorial2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.467s user 0m0.797s sys 0m0.023s + set +x ============================================= ESP verification case 06 passed (as expected) ============================================= + expectSuccess 07 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/tutorial3 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/tutorial3 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/legacy/verify_7.6.0/tutorial3.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m3.366s user 0m5.512s sys 0m0.123s + set +x ============================================= ESP verification case 07 passed (as expected) ============================================= + expectSuccess 08 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/CAPS/myPlane + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/CAPS/myPlane WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/CAPS/verify_7.6.0/myPlane.vfy", so verification is being skipped ==> serveESP completed successfully with 12 warnings and no verification data real 0m29.173s user 0m48.910s sys 0m0.541s + set +x ============================================= ESP verification case 08 passed (as expected) ============================================= + expectSuccess 09 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/bottle + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/bottle WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/verify_7.6.0/bottle.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.740s user 0m0.965s sys 0m0.040s + set +x ============================================= ESP verification case 09 passed (as expected) ============================================= + expectSuccess 10 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/wingMultiModel + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/wingMultiModel WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/wingMultiModel.vfy", so verification is being skipped ==> serveESP completed successfully with 4 warnings and no verification data real 0m34.335s user 1m1.394s sys 0m2.614s + set +x ============================================= ESP verification case 10 passed (as expected) ============================================= + expectSuccess 11 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/bullet + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/bullet WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/bullet.vfy", so verification is being skipped WARNING:: 8 _hist and/or __trace__ attributes removed WARNING:: 8 _hist and/or __trace__ attributes removed ==> serveESP completed successfully with 1 warnings and no verification data real 0m0.332s user 0m0.383s sys 0m0.029s + set +x ============================================= ESP verification case 11 passed (as expected) ============================================= + expectSuccess 12 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/connect5 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/connect5 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/connect5.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.661s user 0m1.077s sys 0m0.029s + set +x ============================================= ESP verification case 12 passed (as expected) ============================================= + expectSuccess 13 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/group2 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/group2 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/group2.vfy", so verification is being skipped ==> serveESP completed successfully with no verification data real 0m0.128s user 0m0.210s sys 0m0.022s + set +x ============================================= ESP verification case 13 passed (as expected) ============================================= + expectSuccess 14 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/csm3 + ./serveESP -batch -verify -outLevel 0 /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/csm3 WARNING:: cannot open "/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/basic/verify_7.6.0/csm3.vfy", so verification is being skipped nnode=8 nedge=12 nface=6 nnode=8 nedge=12 nface=6 nnode=16 nedge=24 nface=10 nnode=8 nedge=12 nface=6 ==> serveESP completed successfully with no verification data real 0m0.401s user 0m0.610s sys 0m0.023s + set +x ============================================= ESP verification case 14 passed (as expected) ============================================= + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/pyESP/testEGADS + make test python --version Python 3.11.6 python -u -m unittest discover .................................................... PCurve Map: 48 (alloc 48)! Surface Map: 12 (alloc 24)! Curve Map: 28 (alloc 28)! Writing PCurves... Writing Curves... Writing Surfaces... Writing 16 Nodes... Writing 28 Edges... Writing 12 Loops... Writing 12 Faces... Writing 1 Shells... EGADS Info: 0 Objects, 0 Reference in Use (of 972) at Close! ................... ---------------------------------------------------------------------- Ran 71 tests in 2.554s OK + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/pyESP/testOCSM + source /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP/test_pyOCSM.sh ++ set -x ++ python -u test_pyOCSM.py -skipHelp real 0m6.441s user 0m12.007s sys 0m0.195s ++ set +x ================================================= test_pyOCSM.py passed (as expected) ================================================= + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/pyESP/testCAPS + make test python --version Python 3.11.6 python -u -m unittest discover ..Mapping Csys attributes ................ Number of unique Csys attributes = 2 Name = leftWingSkin, index = 1 Name = riteWingSkin, index = 2 Getting FEA coordinate systems....... Number of coordinate systems - 2 Coordinate system name - leftWingSkin Coordinate system name - riteWingSkin Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Upper_Left, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Wing1, index = 1 Name = Wing2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 143 Number of elements = 282 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 282 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 140 Number of elements = 276 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 276 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 283 Combined Number of elements = 558 Combined Elemental Nodes = 0 Combined Elemental Rods = 0 Combined Elemental Tria3 = 558 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Wing1 Property name - Wing2 Done getting FEA properties Updating mesh element types based on properties input ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ..Writing D3 Javascript library - d3.v3.min.js ........... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .No analysis directory provided - defaulting to altName Mapping Csys attributes ................ Number of unique Csys attributes = 2 Name = leftWingSkin, index = 1 Name = riteWingSkin, index = 2 Getting FEA coordinate systems....... Number of coordinate systems - 2 Coordinate system name - leftWingSkin Coordinate system name - riteWingSkin Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 1 Name = Upper_Left, index = 1 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Wing1, index = 1 Name = Wing2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 209 Number of elements = 414 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 414 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 186 Number of elements = 368 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 368 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 395 Combined Number of elements = 782 Combined Elemental Nodes = 0 Combined Elemental Rods = 0 Combined Elemental Tria3 = 782 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - Wing1 Property name - Wing2 Done getting FEA properties Updating mesh element types based on properties input ..........--> Opening DESPMTR file "unitGeom.param" updating CFGPMTR series [ 1, 1] = 8412.000000 updating CFGPMTR series2 [ 1, 1] = 20.000000 updating CFGPMTR VIEW:CFD [ 1, 1] = 1.000000 updating CFGPMTR nrow [ 1, 1] = 3.000000 updating CFGPMTR ncol [ 1, 1] = 2.000000 updating DESPMTR area [ 1, 1] = 40.000000 updating DESPMTR aspect [ 1, 1] = 5.000000 updating DESPMTR taper [ 1, 1] = 0.500000 updating DESPMTR twist [ 1, 1] = 15.000000 updating DESPMTR wing:lesweep [ 1, 1] = 30.000000 updating DESPMTR wing:dihedral [ 1, 1] = 1.000000 updating DESPMTR wing:chord:root [ 1, 1] = 1.560000 updating DESPMTR htail [ 1, 1] = 1.000000 updating DESPMTR htail:chord [ 1, 1] = 2.000000 updating DESPMTR vtail:chord [ 1, 1] = 3.000000 updating DESPMTR vtail [ 1, 1] = 4.000000 updating DESPMTR sphereR [ 1, 1] = 80.000000 updating DESPMTR v@1:d_name [ 1, 1] = 1.000000 updating DESPMTR despMat [ 1, 1] = 11.000000 updating DESPMTR despMat [ 1, 2] = 12.000000 updating DESPMTR despMat [ 2, 1] = 13.000000 updating DESPMTR despMat [ 2, 2] = 14.000000 updating DESPMTR despMat [ 3, 1] = 15.000000 updating DESPMTR despMat [ 3, 2] = 16.000000 updating DESPMTR despCol [ 1, 1] = 11.000000 updating DESPMTR despCol [ 2, 1] = 13.000000 updating DESPMTR despCol [ 3, 1] = 15.000000 updating DESPMTR despRow [ 1, 1] = 11.000000 updating DESPMTR despRow [ 1, 2] = 12.000000 updating DESPMTR despRow [ 1, 3] = 13.000000 ERROR:: DESPMTR file "unitGeom.param" not found CAPS Error: ocsmSaveDespmtrs = -201 (caps_readParameters)! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! ..Linked Parameter Beta to analysis su2 input Beta Linked Parameter Alpha to analysis su2 input Alpha No linkable data found for Altitude No linkable data found for Strings No linkable data found for Strings2 Linked Parameter Beta to analysis su2 input Beta Linked Parameter Alpha to analysis su2 input Alpha ............ EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . CAPS Info: Hit last success -- going live! ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> _body (EG_attributeDel)! EGADS Error: No Attribute -> _nface (EG_attributeDel)! EGADS Error: No Attribute -> __trace__ (EG_attributeDel)! EGADS Error: No Attribute -> __numRemaining__ (EG_attributeDel)! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! .--> Opening DESPMTR file "unitGeom.param" updating CFGPMTR series [ 1, 1] = 8412.000000 updating CFGPMTR series2 [ 1, 1] = 20.000000 updating CFGPMTR VIEW:CFD [ 1, 1] = 1.000000 updating CFGPMTR nrow [ 1, 1] = 3.000000 updating CFGPMTR ncol [ 1, 1] = 2.000000 updating DESPMTR area [ 1, 1] = 40.000000 updating DESPMTR aspect [ 1, 1] = 5.000000 updating DESPMTR taper [ 1, 1] = 0.500000 updating DESPMTR twist [ 1, 1] = 15.000000 updating DESPMTR wing:lesweep [ 1, 1] = 30.000000 updating DESPMTR wing:dihedral [ 1, 1] = 1.000000 updating DESPMTR wing:chord:root [ 1, 1] = 1.560000 updating DESPMTR htail [ 1, 1] = 1.000000 updating DESPMTR htail:chord [ 1, 1] = 2.000000 updating DESPMTR vtail:chord [ 1, 1] = 3.000000 updating DESPMTR vtail [ 1, 1] = 4.000000 updating DESPMTR sphereR [ 1, 1] = 80.000000 updating DESPMTR v@1:d_name [ 1, 1] = 1.000000 updating DESPMTR despMat [ 1, 1] = 11.000000 updating DESPMTR despMat [ 1, 2] = 12.000000 updating DESPMTR despMat [ 2, 1] = 13.000000 updating DESPMTR despMat [ 2, 2] = 14.000000 updating DESPMTR despMat [ 3, 1] = 15.000000 updating DESPMTR despMat [ 3, 2] = 16.000000 updating DESPMTR despCol [ 1, 1] = 11.000000 updating DESPMTR despCol [ 2, 1] = 13.000000 updating DESPMTR despCol [ 3, 1] = 15.000000 updating DESPMTR despRow [ 1, 1] = 11.000000 updating DESPMTR despRow [ 1, 2] = 12.000000 updating DESPMTR despRow [ 1, 3] = 13.000000 ERROR:: DESPMTR file "unitGeom.param" not found CAPS Error: ocsmSaveDespmtrs = -201 (caps_readParameters)! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! . EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ................ EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ---------------------------------------------------------------------- Ran 100 tests in 14.943s OK WARNING: 'capsProblem.loadCAPS' is deprecated. Please use 'Problem.__init__'! WARNING: 'capsGeometry.__init__' is deprecated. Please use 'Problem.__init__'! WARNING: 'capsProblem.loadAIM' is deprecated. Please use 'Problem.analysis.create'! WARNING: 'capsAnalysis.__init__' is deprecated. Please use 'Problem.analysis.create'! WARNING: 'capsAnalysis.setAnalysisVal' is deprecated. Please use 'Analysis.input["varname"].value'! WARNING: 'capsGeometry.setGeometryVal' is deprecated. Please use 'Problem.geometry.despmtr["varname"].value'! WARNING: 'capsAnalysis.getAnalysisOutVal' is deprecated. Please use 'Analysis.output["varname"].value'! WARNING: 'capsProblem.__init__' is deprecated. Please use 'Problem.__init__'! + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/ + make test python --version Python 3.11.6 python -u -m unittest discover --verbose Please set the environment variable ASTROS_ROOT test_journal (test_aflr2.TestAFLR2.test_journal) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:58AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.009 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.093 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.006 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2224 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2224 2224 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 446 894 AFLR2 IG : Nodes, Faces = 850 1702 AFLR2 IG : Nodes, Faces = 851 1704 AFLR2 IG : Nodes, Faces = 892 1786 AFLR2 IG : Nodes, Faces = 1301 2604 AFLR2 IG : Nodes, Faces = 1338 2678 AFLR2 IG : Nodes, Faces = 1401 2804 AFLR2 IG : Nodes, Faces = 1501 3004 AFLR2 IG : Nodes, Faces = 1601 3204 AFLR2 IG : Nodes, Faces = 1701 3404 AFLR2 IG : Nodes, Faces = 1784 3570 AFLR2 IG : Nodes, Faces = 1801 3604 AFLR2 IG : Nodes, Faces = 1901 3804 AFLR2 IG : Nodes, Faces = 2001 4004 AFLR2 IG : Nodes, Faces = 2101 4204 AFLR2 IG : Nodes, Faces = 2201 4404 AFLR2 IG : Nodes, Faces = 2224 4450 AFLR2 IG : Nodes, Faces = 2228 4450 AFLR2 IG : Nodes, Faces = 2224 2224 AFLR2 : CPU Time = 0.010 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2224 2224 AFLR2 GG : Nodes, Faces = 4121 6018 AFLR2 GG : Nodes, Faces = 5676 9128 AFLR2 GG : Nodes, Faces = 6930 11636 AFLR2 GG : Nodes, Faces = 8008 13792 AFLR2 GG : Nodes, Faces = 8945 15666 AFLR2 GG : Nodes, Faces = 9742 17260 AFLR2 GG : Nodes, Faces = 10427 18630 AFLR2 GG : Nodes, Faces = 11007 19790 AFLR2 GG : Nodes, Faces = 11514 20804 AFLR2 GG : Nodes, Faces = 11940 21656 AFLR2 GG : Nodes, Faces = 12314 22404 AFLR2 GG : Nodes, Faces = 12630 23036 AFLR2 GG : Nodes, Faces = 12902 23580 AFLR2 GG : Nodes, Faces = 13117 24010 AFLR2 GG : Nodes, Faces = 13293 24362 AFLR2 GG : Nodes, Faces = 13417 24610 AFLR2 GG : Nodes, Faces = 13516 24808 AFLR2 GG : Nodes, Faces = 13581 24938 AFLR2 GG : Nodes, Faces = 13623 25022 AFLR2 GG : Nodes, Faces = 13649 25074 AFLR2 GG : Nodes, Faces = 13660 25096 AFLR2 GG : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.048 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.003 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 13664 Number of elements = 27328 Number of tris = 25104 Number of quad = 0 EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.012 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.112 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.008 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2224 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2224 2224 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 446 894 AFLR2 IG : Nodes, Faces = 850 1702 AFLR2 IG : Nodes, Faces = 851 1704 AFLR2 IG : Nodes, Faces = 892 1786 AFLR2 IG : Nodes, Faces = 1301 2604 AFLR2 IG : Nodes, Faces = 1338 2678 AFLR2 IG : Nodes, Faces = 1401 2804 AFLR2 IG : Nodes, Faces = 1501 3004 AFLR2 IG : Nodes, Faces = 1601 3204 AFLR2 IG : Nodes, Faces = 1701 3404 AFLR2 IG : Nodes, Faces = 1784 3570 AFLR2 IG : Nodes, Faces = 1801 3604 AFLR2 IG : Nodes, Faces = 1901 3804 AFLR2 IG : Nodes, Faces = 2001 4004 AFLR2 IG : Nodes, Faces = 2101 4204 AFLR2 IG : Nodes, Faces = 2201 4404 AFLR2 IG : Nodes, Faces = 2224 4450 AFLR2 IG : Nodes, Faces = 2228 4450 AFLR2 IG : Nodes, Faces = 2224 2224 AFLR2 : CPU Time = 0.010 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2224 2224 AFLR2 GG : Nodes, Faces = 4121 6018 AFLR2 GG : Nodes, Faces = 5676 9128 AFLR2 GG : Nodes, Faces = 6930 11636 AFLR2 GG : Nodes, Faces = 8008 13792 AFLR2 GG : Nodes, Faces = 8945 15666 AFLR2 GG : Nodes, Faces = 9742 17260 AFLR2 GG : Nodes, Faces = 10427 18630 AFLR2 GG : Nodes, Faces = 11007 19790 AFLR2 GG : Nodes, Faces = 11514 20804 AFLR2 GG : Nodes, Faces = 11940 21656 AFLR2 GG : Nodes, Faces = 12314 22404 AFLR2 GG : Nodes, Faces = 12630 23036 AFLR2 GG : Nodes, Faces = 12902 23580 AFLR2 GG : Nodes, Faces = 13117 24010 AFLR2 GG : Nodes, Faces = 13293 24362 AFLR2 GG : Nodes, Faces = 13417 24610 AFLR2 GG : Nodes, Faces = 13516 24808 AFLR2 GG : Nodes, Faces = 13581 24938 AFLR2 GG : Nodes, Faces = 13623 25022 AFLR2 GG : Nodes, Faces = 13649 25074 AFLR2 GG : Nodes, Faces = 13660 25096 AFLR2 GG : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.049 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 13664 25104 AFLR2 : CPU Time = 0.004 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 13664 Number of elements = 27328 Number of tris = 25104 Number of quad = 0 CAPS Info: Hit last success -- going live! Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 ok test_phase (test_aflr2.TestAFLR2.test_phase) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 2244 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 2244 2244 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 450 902 AFLR2 IG : Nodes, Faces = 900 1802 AFLR2 IG : Nodes, Faces = 1086 2174 AFLR2 IG : Nodes, Faces = 1087 2176 AFLR2 IG : Nodes, Faces = 1321 2644 AFLR2 IG : Nodes, Faces = 1350 2702 AFLR2 IG : Nodes, Faces = 1421 2844 AFLR2 IG : Nodes, Faces = 1521 3044 AFLR2 IG : Nodes, Faces = 1621 3244 AFLR2 IG : Nodes, Faces = 1721 3444 AFLR2 IG : Nodes, Faces = 1800 3602 AFLR2 IG : Nodes, Faces = 1821 3644 AFLR2 IG : Nodes, Faces = 1921 3844 AFLR2 IG : Nodes, Faces = 2021 4044 AFLR2 IG : Nodes, Faces = 2121 4244 AFLR2 IG : Nodes, Faces = 2221 4444 AFLR2 IG : Nodes, Faces = 2244 4490 AFLR2 IG : Nodes, Faces = 2248 4490 AFLR2 IG : Nodes, Faces = 2244 2244 AFLR2 : CPU Time = 0.028 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 2244 2244 AFLR2 GG : Nodes, Faces = 4280 6316 AFLR2 GG : Nodes, Faces = 6142 10040 AFLR2 GG : Nodes, Faces = 7878 13512 AFLR2 GG : Nodes, Faces = 9457 16670 AFLR2 GG : Nodes, Faces = 10755 19266 AFLR2 GG : Nodes, Faces = 11958 21672 AFLR2 GG : Nodes, Faces = 13085 23926 AFLR2 GG : Nodes, Faces = 14138 26032 AFLR2 GG : Nodes, Faces = 15126 28008 AFLR2 GG : Nodes, Faces = 16046 29848 AFLR2 GG : Nodes, Faces = 16885 31526 AFLR2 GG : Nodes, Faces = 17661 33078 AFLR2 GG : Nodes, Faces = 18384 34524 AFLR2 GG : Nodes, Faces = 19043 35842 AFLR2 GG : Nodes, Faces = 19652 37060 AFLR2 GG : Nodes, Faces = 20219 38194 AFLR2 GG : Nodes, Faces = 20752 39260 AFLR2 GG : Nodes, Faces = 21255 40266 AFLR2 GG : Nodes, Faces = 21709 41174 AFLR2 GG : Nodes, Faces = 22137 42030 AFLR2 GG : Nodes, Faces = 22527 42810 AFLR2 GG : Nodes, Faces = 22881 43518 AFLR2 GG : Nodes, Faces = 23170 44096 AFLR2 GG : Nodes, Faces = 23413 44582 AFLR2 GG : Nodes, Faces = 23626 45008 AFLR2 GG : Nodes, Faces = 23816 45388 AFLR2 GG : Nodes, Faces = 23971 45698 AFLR2 GG : Nodes, Faces = 24067 45890 AFLR2 GG : Nodes, Faces = 24162 46080 AFLR2 GG : Nodes, Faces = 24254 46264 AFLR2 GG : Nodes, Faces = 24329 46414 AFLR2 GG : Nodes, Faces = 24383 46522 AFLR2 GG : Nodes, Faces = 24422 46600 AFLR2 GG : Nodes, Faces = 24441 46638 AFLR2 GG : Nodes, Faces = 24449 46654 AFLR2 GG : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.128 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 24450 46656 AFLR2 : CPU Time = 0.008 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 24450 Number of elements = 48900 Number of tris = 46656 Number of quad = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 48 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 48 48 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 10 22 AFLR2 IG : Nodes, Faces = 20 42 AFLR2 IG : Nodes, Faces = 30 62 AFLR2 IG : Nodes, Faces = 38 78 AFLR2 IG : Nodes, Faces = 39 80 AFLR2 IG : Nodes, Faces = 40 82 AFLR2 IG : Nodes, Faces = 48 98 AFLR2 IG : Nodes, Faces = 52 98 AFLR2 IG : Nodes, Faces = 48 48 AFLR2 : CPU Time = 0.000 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 48 48 AFLR2 GG : Nodes, Faces = 88 128 AFLR2 GG : Nodes, Faces = 106 164 AFLR2 GG : Nodes, Faces = 120 192 AFLR2 GG : Nodes, Faces = 132 216 AFLR2 GG : Nodes, Faces = 143 238 AFLR2 GG : Nodes, Faces = 154 260 AFLR2 GG : Nodes, Faces = 165 282 AFLR2 GG : Nodes, Faces = 174 300 AFLR2 GG : Nodes, Faces = 181 314 AFLR2 GG : Nodes, Faces = 183 318 AFLR2 : CPU Time = 0.000 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 183 318 AFLR2 : CPU Time = 0.000 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 183 Number of elements = 366 Number of tris = 318 Number of quad = 0 ok test_reenter (test_aflr2.TestAFLR2.test_reenter) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GG : Nodes, Faces = 344 344 AFLR2 GG : Nodes, Faces = 680 1016 AFLR2 GG : Nodes, Faces = 1009 1674 AFLR2 GG : Nodes, Faces = 1333 2322 AFLR2 GG : Nodes, Faces = 1650 2956 AFLR2 GG : Nodes, Faces = 1957 3570 AFLR2 GG : Nodes, Faces = 2258 4172 AFLR2 GG : Nodes, Faces = 2548 4752 AFLR2 GG : Nodes, Faces = 2831 5318 AFLR2 GG : Nodes, Faces = 3102 5860 AFLR2 GG : Nodes, Faces = 3364 6384 AFLR2 GG : Nodes, Faces = 3614 6884 AFLR2 GG : Nodes, Faces = 3830 7316 AFLR2 GG : Nodes, Faces = 4037 7730 AFLR2 GG : Nodes, Faces = 4229 8114 AFLR2 GG : Nodes, Faces = 4415 8486 AFLR2 GG : Nodes, Faces = 4594 8844 AFLR2 GG : Nodes, Faces = 4763 9182 AFLR2 GG : Nodes, Faces = 4926 9508 AFLR2 GG : Nodes, Faces = 5086 9828 AFLR2 GG : Nodes, Faces = 5239 10134 AFLR2 GG : Nodes, Faces = 5385 10426 AFLR2 GG : Nodes, Faces = 5529 10714 AFLR2 GG : Nodes, Faces = 5669 10994 AFLR2 GG : Nodes, Faces = 5812 11280 AFLR2 GG : Nodes, Faces = 5943 11542 AFLR2 GG : Nodes, Faces = 6070 11796 AFLR2 GG : Nodes, Faces = 6189 12034 AFLR2 GG : Nodes, Faces = 6307 12270 AFLR2 GG : Nodes, Faces = 6415 12486 AFLR2 GG : Nodes, Faces = 6510 12676 AFLR2 GG : Nodes, Faces = 6595 12846 AFLR2 GG : Nodes, Faces = 6665 12986 AFLR2 GG : Nodes, Faces = 6727 13110 AFLR2 GG : Nodes, Faces = 6772 13200 AFLR2 GG : Nodes, Faces = 6806 13268 AFLR2 GG : Nodes, Faces = 6831 13318 AFLR2 GG : Nodes, Faces = 6850 13356 AFLR2 GG : Nodes, Faces = 6864 13384 AFLR2 GG : Nodes, Faces = 6872 13400 AFLR2 GG : Nodes, Faces = 6875 13406 AFLR2 GG : Nodes, Faces = 6876 13408 AFLR2 : CPU Time = 0.013 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6876 13408 AFLR2 : CPU Time = 0.002 seconds AFLR2 : DONE Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6876 Number of elements = 13752 Number of tris = 13408 Number of quad = 0 Writing TECPLOT file: pyCAPS_aflr2_Tri.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.019 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.009 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_aflr2_Quad.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! ok test_setInput (test_aflr2.TestAFLR2.test_setInput) ... Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.017 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.009 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_aflr2_Test.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! ok test_Multiple_Mesh (test_aflr3.TestAFLR3.test_Multiple_Mesh) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Getting volume mesh for body 1 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box, index = 1 AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:58AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:20PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 1 (of 7): Number of nodes = 1189 Number of elements = 6249 Number of triangles = 1332 Number of quadrilatarals = 0 Number of tetrahedrals = 4917 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 2 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cylinder, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 2 (of 7): Number of nodes = 6092 Number of elements = 33723 Number of triangles = 4434 Number of quadrilatarals = 0 Number of tetrahedrals = 29289 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 3 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cone, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 3 (of 7): Number of nodes = 13578 Number of elements = 76165 Number of triangles = 8144 Number of quadrilatarals = 0 Number of tetrahedrals = 68021 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 4 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = torus, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 4 (of 7): Number of nodes = 42341 Number of elements = 240656 Number of triangles = 19890 Number of quadrilatarals = 0 Number of tetrahedrals = 220766 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 5 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = sphere, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 5 (of 7): Number of nodes = 4356 Number of elements = 24173 Number of triangles = 3018 Number of quadrilatarals = 0 Number of tetrahedrals = 21155 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 6 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = boxhole, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 6 (of 7): Number of nodes = 10984 Number of elements = 60667 Number of triangles = 8628 Number of quadrilatarals = 0 Number of tetrahedrals = 52039 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Getting volume mesh for body 7 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = bullet, index = 1 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh for body 7 (of 7): Number of nodes = 10678 Number of elements = 59894 Number of triangles = 6366 Number of quadrilatarals = 0 Number of tetrahedrals = 53528 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Getting volume mesh for body 1 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Getting volume mesh for body 2 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Getting volume mesh for body 3 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Getting volume mesh for body 4 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Getting volume mesh for body 5 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Getting volume mesh for body 6 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Getting volume mesh for body 7 (of 7) EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 89218 Number of elements = 501527 Number of triangles = 51812 Number of quadrilatarals = 0 Number of tetrahedrals = 449715 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing AFLR3 file .... Finished writing AFLR3 file ok test_all (test_aflr3.TestAFLR3.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 17645 Number of elements = 100543 Number of triangles = 8270 Number of quadrilatarals = 0 Number of tetrahedrals = 92273 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_box (test_aflr3.TestAFLR3.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 2592 Number of elements = 13823 Number of triangles = 2758 Number of quadrilatarals = 0 Number of tetrahedrals = 11065 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_boxhole (test_aflr3.TestAFLR3.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 3649 Number of elements = 19777 Number of triangles = 3394 Number of quadrilatarals = 0 Number of tetrahedrals = 16383 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_bullet (test_aflr3.TestAFLR3.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5444 Number of elements = 30242 Number of triangles = 3656 Number of quadrilatarals = 0 Number of tetrahedrals = 26586 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cone (test_aflr3.TestAFLR3.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 4694 Number of elements = 25935 Number of triangles = 3326 Number of quadrilatarals = 0 Number of tetrahedrals = 22609 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_cylinder (test_aflr3.TestAFLR3.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5554 Number of elements = 30862 Number of triangles = 3756 Number of quadrilatarals = 0 Number of tetrahedrals = 27106 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_faceMatch (test_aflr3.TestAFLR3.test_faceMatch) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 2260 Number of elements = 12133 Number of triangles = 2222 Number of quadrilatarals = 0 Number of tetrahedrals = 9911 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 3341 Number of elements = 18069 Number of triangles = 3110 Number of quadrilatarals = 0 Number of tetrahedrals = 14959 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 4414 Number of elements = 23974 Number of triangles = 3998 Number of quadrilatarals = 0 Number of tetrahedrals = 19976 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5490 Number of elements = 29891 Number of triangles = 4886 Number of quadrilatarals = 0 Number of tetrahedrals = 25005 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 6542 Number of elements = 35667 Number of triangles = 5774 Number of quadrilatarals = 0 Number of tetrahedrals = 29893 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 7601 Number of elements = 41489 Number of triangles = 6662 Number of quadrilatarals = 0 Number of tetrahedrals = 34827 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 19800 Number of elements = 109798 Number of triangles = 15540 Number of quadrilatarals = 0 Number of tetrahedrals = 94258 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_journal (test_aflr3.TestAFLR3.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29628 Number of elements = 171383 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 163713 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22515 Number of elements = 130348 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124230 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29628 Number of elements = 171383 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 163713 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22515 Number of elements = 130348 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124230 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 ok test_phase (test_aflr3.TestAFLR3.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29628 Number of elements = 171383 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 163713 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22515 Number of elements = 130348 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124230 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_reenter (test_aflr3.TestAFLR3.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 29628 Number of elements = 171383 Number of triangles = 7670 Number of quadrilatarals = 0 Number of tetrahedrals = 163713 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 22515 Number of elements = 130348 Number of triangles = 6118 Number of quadrilatarals = 0 Number of tetrahedrals = 124230 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_setInput (test_aflr3.TestAFLR3.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_aflr3.TestAFLR3.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 5047 Number of elements = 27987 Number of triangles = 3464 Number of quadrilatarals = 0 Number of tetrahedrals = 24523 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_torus (test_aflr3.TestAFLR3.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 6342 Number of elements = 35410 Number of triangles = 4052 Number of quadrilatarals = 0 Number of tetrahedrals = 31358 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 No project name ("Proj_Name") provided - A volume mesh will not be written out ok test_transp (test_aflr3.TestAFLR3.test_transp) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 39672 Number of elements = 228902 Number of triangles = 12424 Number of quadrilatarals = 0 Number of tetrahedrals = 216478 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 44744 Number of elements = 258845 Number of triangles = 12406 Number of quadrilatarals = 0 Number of tetrahedrals = 246439 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Getting mesh sizing parameters Mesh sizing name - Wake Done getting mesh sizing parameters Getting volume mesh EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 39672 Number of elements = 228884 Number of triangles = 12406 Number of quadrilatarals = 0 Number of tetrahedrals = 216478 Number of pyramids = 0 Number of prisms = 0 Number of hexahedrals = 0 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_MultiBody_quad (test_aflr4.TestAFLR4.test_MultiBody_quad) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value ok test_SingleBody_output (test_aflr4.TestAFLR4.test_SingleBody_output) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - trailingEdge Done getting mesh sizing parameters ok test_all (test_aflr4.TestAFLR4.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 8 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = farfield, index = 8 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_box (test_aflr4.TestAFLR4.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_boxhole (test_aflr4.TestAFLR4.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_bullet (test_aflr4.TestAFLR4.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cone (test_aflr4.TestAFLR4.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cylinder (test_aflr4.TestAFLR4.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_faceMatch (test_aflr4.TestAFLR4.test_faceMatch) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = wall, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_invalid_Mesh_Lenght_Scale (test_aflr4.TestAFLR4.test_invalid_Mesh_Lenght_Scale) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_journal (test_aflr4.TestAFLR4.test_journal) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ok test_phase (test_aflr4.TestAFLR4.test_phase) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_reenter (test_aflr4.TestAFLR4.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_setInput (test_aflr4.TestAFLR4.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_aflr4.TestAFLR4.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_torus (test_aflr4.TestAFLR4.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_Aeroelastic (test_astros.TestAstros.test_Aeroelastic) ... skipped 'No astros.exe executable' test_Plate (test_astros.TestAstros.test_Plate) ... skipped 'No astros.exe executable' test_MassProp_Units (test_avl.TestAVL.test_MassProp_Units) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp ok test_MassProp_noUnits (test_avl.TestAVL.test_MassProp_noUnits) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing mass properties file: caps.mass Parsing MassProp ok test_alpha_custom_increment (test_avl.TestAVL.test_alpha_custom_increment) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. ok test_geom_change (test_avl.TestAVL.test_geom_change) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 ok test_numSpan (test_avl.TestAVL.test_numSpan) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing ok test_phase (test_avl.TestAVL.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. ok test_wing_Vtail (test_avl.TestAVL.test_wing_Vtail) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - VTail1 No "groupName" variable provided or no matches found, going to use tuple name VLM surface name - VTail2 No "groupName" variable provided or no matches found, going to use tuple name VLM surface name - Wing Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Writing surface - VTail1 (ID = 0) Section 1 of 2 (ID = 1) Section 2 of 2 (ID = 0) Writing surface - VTail2 (ID = 1) Section 1 of 2 (ID = 0) Section 2 of 2 (ID = 1) Writing surface - Wing (ID = 2) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 ok test_wing_tail (test_avl.TestAVL.test_wing_tail) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice surface data VLM surface name - Wing VLM surface name - hTail VLM surface name - vTail No "groupName" variable provided or no matches found, going to use tuple name Done getting vortex lattice surface data Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control RightAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control LeftAileron not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Elevator not found in controls tuple! Only defaults will be used. Warning: Control Rudder not found in controls tuple! Only defaults will be used. Warning: Control Rudder not found in controls tuple! Only defaults will be used. Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing surface - hTail (ID = 1) Section 1 of 3 (ID = 2) Control surface 1 of 1 Section 2 of 3 (ID = 1) Control surface 1 of 1 Section 3 of 3 (ID = 0) Control surface 1 of 1 Writing surface - vTail (ID = 2) Section 1 of 2 (ID = 0) Control surface 1 of 1 Section 2 of 2 (ID = 1) Control surface 1 of 1 ok test_wing_tail_control (test_avl.TestAVL.test_wing_tail_control) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 5 Name = Wing, index = 1 Name = hTail, index = 2 Name = vTail, index = 3 Name = VTail1, index = 4 Name = VTail2, index = 5 Getting vortex lattice control surface data VLM control surface name - Elevator VLM control surface name - LeftAileron VLM control surface name - RightAileron VLM control surface name - Rudder Done getting vortex lattice control surface data Getting vortex lattice surface data VLM surface name - Wing VLM surface name - hTail VLM surface name - vTail No "groupName" variable provided or no matches found, going to use tuple name Done getting vortex lattice surface data Writing surface - Wing (ID = 0) Section 1 of 4 (ID = 3) Control surface 1 of 1 Section 2 of 4 (ID = 2) Control surface 1 of 1 Section 3 of 4 (ID = 1) Control surface 1 of 1 Section 4 of 4 (ID = 0) Control surface 1 of 1 Writing surface - hTail (ID = 1) Section 1 of 3 (ID = 2) Control surface 1 of 1 Section 2 of 3 (ID = 1) Control surface 1 of 1 Section 3 of 3 (ID = 0) Control surface 1 of 1 Writing surface - vTail (ID = 2) Section 1 of 2 (ID = 0) Control surface 1 of 1 Section 2 of 2 (ID = 1) Control surface 1 of 1 ok test_TargetCL (test_cart3d.TestCart3D.test_TargetCL) ... skipped 'No flowCart executable' test_outputs (test_cart3d.TestCart3D.test_outputs) ... skipped 'No flowCart executable' test_reenter (test_cart3d.TestCart3D.test_reenter) ... skipped 'No flowCart executable' test_sensitivity_AnalysisIn (test_cart3d.TestCart3D.test_sensitivity_AnalysisIn) ... skipped 'No flowCart executable' test_sensitivity_GeometryIn (test_cart3d.TestCart3D.test_sensitivity_GeometryIn) ... skipped 'No flowCart executable' test_Output (test_cbaero.TestCBAERO.test_Output) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing1, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 2 Name = Wing1, index = 1 Name = trailingEdge, index = 2 Writing FAST file .... Finished writing FAST file Writing CBAero input file - cbaero_CAPS.cbaero Writing CBAero tagged regions - TaggedRegions Writing CBAero setup file - cbaero_CAPS.stp ok test_inputs (test_cbaero.TestCBAERO.test_inputs) ... Writing CBAero input file - cbaero_CAPS.cbaero Writing CBAero tagged regions - TaggedRegions Writing CBAero setup file - cbaero_CAPS.stp ok test_MultiBody (test_egadsTess.TestEGADS.test_MultiBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value ok test_SingleBody_AnalysisOutVal (test_egadsTess.TestEGADS.test_SingleBody_AnalysisOutVal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters ok test_all (test_egadsTess.TestEGADS.test_all) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 9 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Name = nodeBody, index = 8 Name = farfield, index = 9 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 7F002FFFF700 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 4 7, sen = -1! 7F005B16B740 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 7F005B16B740 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! 7F002FFFF700 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_box (test_egadsTess.TestEGADS.test_box) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 ok test_boxhole (test_egadsTess.TestEGADS.test_boxhole) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = boxhole, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 7F002F7FE700 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 4 7, sen = -1! 7F002F7FE700 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! 7F005B16B740 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 7F005B16B740 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! ok test_bullet (test_egadsTess.TestEGADS.test_bullet) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = bullet, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cone (test_egadsTess.TestEGADS.test_cone) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cone, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_cylinder (test_egadsTess.TestEGADS.test_cylinder) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = cylinder, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_invalid_Mesh_Lenght_Scale (test_egadsTess.TestEGADS.test_invalid_Mesh_Lenght_Scale) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 ok test_journal (test_egadsTess.TestEGADS.test_journal) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) ok test_nodeBody (test_egadsTess.TestEGADS.test_nodeBody) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = nodeBody, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_phase (test_egadsTess.TestEGADS.test_phase) ... ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ERROR:: BAD STATUS = -276 from matsol (called from solveSketchOrig:61202) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 1 Name = box, index = 1 Getting mesh sizing parameters Mesh sizing name - box Done getting mesh sizing parameters ok test_reenter (test_egadsTess.TestEGADS.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters ok test_setInput (test_egadsTess.TestEGADS.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_sphere (test_egadsTess.TestEGADS.test_sphere) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = sphere, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 ok test_torus (test_egadsTess.TestEGADS.test_torus) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = torus, index = 1 Name = farfield, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value ok test_Design_SensFile (test_fun3d.TestFUN3D.test_Design_SensFile) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 7766 Number of elements = 15528 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 15528 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 5870 Number of elements = 11736 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 11736 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 488 Number of elements = 972 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 972 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 14124 Total number of elements = 28236 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14124, elements - 28236 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.15 Creating surface mesh ... Surface mesh seconds: 0.04 Recovering boundaries... Boundary recovery seconds: 0.17 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.07 Recovering Delaunayness... Delaunay recovery seconds: 0.07 Refining mesh... 18827 insertions, added 13420 points, 721721 tetrahedra in queue. 6269 insertions, added 2485 points, 625204 tetrahedra in queue. 8356 insertions, added 2101 points, 168215 tetrahedra in queue. Refinement seconds: 2.86 Smoothing vertices... Mesh smoothing seconds: 2.64 Improving mesh... Mesh improvement seconds: 0.06 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.02 Total running seconds: 6.09 Statistics: Input points: 14124 Input facets: 28236 Input segments: 42354 Input holes: 2 Input regions: 0 Mesh points: 33059 Mesh tetrahedra: 159851 Mesh faces: 333820 Mesh faces on exterior boundary: 28236 Mesh faces on input facets: 28236 Mesh edges on input segments: 42354 Steiner points inside domain: 18935 Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 5 Design Variable name - Mach Warning: No initial value set for Mach Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. ok test_Design_Sensitivity (test_fun3d.TestFUN3D.test_Design_Sensitivity) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 6 Design Variable name - Alpha Design Variable name - Beta Warning: No initial value set for Beta Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Python library was linked, but will not be used! Creating FUN3D directory tree Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Overwrite_NML is set to 'True' - a new namelist will be created Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Reading rubber.data Getting CFD design variables....... Number of design variables - 1 Design Variable name - Alpha Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Creating FUN3D directory tree DesignVariable = Alpha Writing rubber.data Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Overwrite_NML is set to 'True' - a new namelist will be created Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Reading rubber.data ok test_Mesh_Morph_Sensitivity (test_fun3d.TestFUN3D.test_Mesh_Morph_Sensitivity) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 6 Design Variable name - Alpha Design Variable name - Beta Warning: No initial value set for Beta Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Getting CFD functional....... Number of design variables - 2 Objective name - Composite Objective name - Lift^2 Done getting CFD functional Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing rubber.data Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Projecting tessellation 1 (of 3) on to new body Projecting tessellation 2 (of 3) on to new body Projecting tessellation 3 (of 3) on to new body Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data Projecting tessellation 1 (of 3) on to new body Projecting tessellation 2 (of 3) on to new body Projecting tessellation 3 (of 3) on to new body Python library was linked, but will not be used! Creating FUN3D directory tree DesignVariable = Alpha DesignVariable = Beta DesignVariable = area DesignVariable = aspect DesignVariable = taper DesignVariable = twist Writing sensitivity file for body 1, File - Rubberize/model.tec.1.sd1 Writing sensitivity file for body 2, File - Rubberize/model.tec.2.sd1 Writing sensitivity file for body 3, File - Rubberize/model.tec.3.sd1 Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing surface file for 1 (of 3) in body 1 File - Flow/fun3d_CAPS_body1.dat Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Reading rubber.data ok test_cythonNML (test_fun3d.TestFUN3D.test_cythonNML) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 7766 Number of elements = 15528 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 15528 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 5870 Number of elements = 11736 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 11736 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 488 Number of elements = 972 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 972 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 14124 Total number of elements = 28236 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14124, elements - 28236 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.15 Creating surface mesh ... Surface mesh seconds: 0.04 Recovering boundaries... Boundary recovery seconds: 0.16 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.07 Recovering Delaunayness... Delaunay recovery seconds: 0.07 Refining mesh... 18827 insertions, added 13420 points, 721721 tetrahedra in queue. 6269 insertions, added 2485 points, 625204 tetrahedra in queue. 8356 insertions, added 2101 points, 168215 tetrahedra in queue. Refinement seconds: 2.9 Smoothing vertices... Mesh smoothing seconds: 2.86 Improving mesh... Mesh improvement seconds: 0.07 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.01 Total running seconds: 6.33 Statistics: Input points: 14124 Input facets: 28236 Input segments: 42354 Input holes: 2 Input regions: 0 Mesh points: 33059 Mesh tetrahedra: 159851 Mesh faces: 333820 Mesh faces on exterior boundary: 28236 Mesh faces on input facets: 28236 Mesh edges on input segments: 42354 Steiner points inside domain: 18935 Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Reading /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM4/fun3d.nml ..... Appending namelist Done writing nml file with Python Info: No recognized data transfer names found. ok test_cythonNMLError (test_fun3d.TestFUN3D.test_cythonNMLError) ... ok test_cythonNMLReentrance (test_fun3d.TestFUN3D.test_cythonNMLReentrance) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM6/fun3d.nml not found! Creating namelist Done writing nml file with Python Info: No recognized data transfer names found. Writing MAPBC file .... Finished writing MAPBC file Using Python to write FUN3D namelist (fun3d.nml) Reading /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/src/CAPS/aim/unitTest/pyCAPS/workDir_fun3dTest/Scratch/fun3dAIM6/fun3d.nml ..... Appending namelist Done writing nml file with Python Info: No recognized data transfer names found. ok test_invalidBoundary (test_fun3d.TestFUN3D.test_invalidBoundary) ... Getting CFD boundary conditions Boundary condition name - Wing1 ok test_invalidBoundaryName (test_fun3d.TestFUN3D.test_invalidBoundaryName) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - X ok test_journal (test_fun3d.TestFUN3D.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 5043, elements - 10074 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 5043, elements - 10074 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables Python library was linked, but will not be used! Creating FUN3D directory tree Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Getting CFD design variables....... Number of design variables - 4 Design Variable name - area Design Variable name - aspect Design Variable name - taper Design Variable name - twist Done getting CFD design variables CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! ok test_moving_body (test_fun3d.TestFUN3D.test_moving_body) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Writing moving_body.input ok test_overwriteNML (test_fun3d.TestFUN3D.test_overwriteNML) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_phase (test_fun3d.TestFUN3D.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 14124, elements - 28236 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_reenter (test_fun3d.TestFUN3D.test_reenter) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Warning: The fun3d.nml file will be overwritten! Writing fun3d.nml Info: No recognized data transfer names found. ok test_symmetry (test_fun3d.TestFUN3D.test_symmetry) ... Getting CFD boundary conditions Boundary condition name - Farfield Boundary condition name - Wing1 Boundary condition name - Wing2 Done getting CFD boundary conditions Python library was linked, but will not be used! Writing MAPBC file .... Finished writing MAPBC file Since Python was not linked and/or being used, the "Overwrite_NML" input needs to be set to "True" to give permission to create a new fun3d.nml. fun3d.nml will NOT be updated!! Info: No recognized data transfer names found. ok test_box1 (test_masstran.TestMasstran.test_box1) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_box2 (test_masstran.TestMasstran.test_box2) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Projecting tessellation 1 (of 1) on to new body Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1692 Number of elements = 3380 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 3380 Elemental Quad4 = 0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_box3 (test_masstran.TestMasstran.test_box3) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - box2 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = box2, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2402 Number of elements = 2400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 2400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Design_Variable_Relation name - thick_box2 Number of design variable relations - 4 Done getting FEA design variables ok test_journal (test_masstran.TestMasstran.test_journal) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Updating mesh element types based on properties input EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4009 Number of elements = 8014 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 8014 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6178 Combined Number of elements = 12347 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12346 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input CAPS Info: Hit last success -- going live! Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 2168 Number of elements = 4332 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 4332 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 4000 Number of elements = 7996 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 7996 Elemental Quad4 = 0 Setting FEA Data Mesh for body = 2 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 6169 Combined Number of elements = 12329 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 12328 Combined Elemental Quad4 = 0 Getting FEA materials....... Number of materials - 2 Material name - madeupium Material name - unobtainium Done getting FEA materials Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input ok test_plate (test_masstran.TestMasstran.test_plate) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Getting FEA properties....... Number of properties - 1 Property name - box1 Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box1, index = 1 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 3 Done getting FEA design variables ok test_plate_point (test_masstran.TestMasstran.test_plate_point) ... Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Getting FEA properties....... Number of properties - 2 Property name - box1 Property name - point Done getting FEA properties Updating mesh element types based on properties input Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 7 Design_Variable name - H Design_Variable name - L Design_Variable name - W Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> L Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> W Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> H Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input ===> x0 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 0 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = box1, index = 1 Name = point, index = 2 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 441 Number of elements = 400 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 400 Setting FEA Data Mesh for body = 1 Number of nodal coordinates = 1 Number of elements = 1 Elemental Nodes = 1 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 0 Combining multiple FEA meshes! Combined Number of nodal coordinates = 442 Combined Number of elements = 401 Combined Elemental Nodes = 1 Combined Elemental Rods = 0 Combined Elemental Tria3 = 0 Combined Elemental Quad4 = 400 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> x1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> m0 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ===> m1 Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables Updating mesh element types based on properties input Getting FEA design variables....... Number of design variables - 4 Design_Variable name - m0 Design_Variable name - m1 Design_Variable name - x0 Design_Variable name - x1 Design_Variable_Relation name - mass_point Design_Variable_Relation name - rho_madeupium Name madeupium not found in attribute map!!!! Design_Variable_Relation name - rho_unobtainium Name unobtainium not found in attribute map!!!! Design_Variable_Relation name - thick_box1 Number of design variable relations - 4 Done getting FEA design variables ok test_Cheby_Modes (test_mses.TestMSES_Kulfan.test_Cheby_Modes) ... Getting CFD design variables....... Number of design variables - 4 Design Variable name - alowervar Design Variable name - auppervar Design Variable name - classvar Design Variable name - ztailvar Done getting CFD design variables CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 ok test_Cheby_Modes_Symmetric (test_mses.TestMSES_Kulfan.test_Cheby_Modes_Symmetric) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - avar Done getting CFD design variables CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 CAPS Info: Sensitivity FD step 1.000000e-05 ok test_allInputs (test_mses.TestMSES_Kulfan.test_allInputs) ... ok test_execute (test_mses.TestMSES_Kulfan.test_execute) ... ok test_sensitivity_AnalysisIn (test_mses.TestMSES_Kulfan.test_sensitivity_AnalysisIn) ... ok test_Cheby_Modes_Symmetric (test_mses.TestMSES_NACA.test_Cheby_Modes_Symmetric) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables ok test_geom_sensitivity_CL (test_mses.TestMSES_NACA.test_geom_sensitivity_CL) ... Getting CFD design variables....... Number of design variables - 2 Design Variable name - camber Design Variable name - thick Done getting CFD design variables ok test_journal (test_mses.TestMSES_NACA.test_journal) ... Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables CAPS Info: Hit last success -- going live! Getting CFD design variables....... Number of design variables - 1 Design Variable name - thick Done getting CFD design variables ok test_MultiBody (test_pointwise.TestPointwise.test_MultiBody) ... skipped 'No pointwise executable' test_SingleBody (test_pointwise.TestPointwise.test_SingleBody) ... skipped 'No pointwise executable' test_all (test_pointwise.TestPointwise.test_all) ... skipped 'No pointwise executable' test_box (test_pointwise.TestPointwise.test_box) ... skipped 'No pointwise executable' test_bullet (test_pointwise.TestPointwise.test_bullet) ... skipped 'No pointwise executable' test_cone (test_pointwise.TestPointwise.test_cone) ... skipped 'No pointwise executable' test_cylinder (test_pointwise.TestPointwise.test_cylinder) ... skipped 'No pointwise executable' test_executeError (test_pointwise.TestPointwise.test_executeError) ... skipped 'No pointwise executable' test_reenter (test_pointwise.TestPointwise.test_reenter) ... skipped 'No pointwise executable' test_sphere (test_pointwise.TestPointwise.test_sphere) ... skipped 'No pointwise executable' EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! test_all (test_refine.TestREFINE.test_all) ... skipped "No 'ref' executable" test_box_volume (test_refine.TestREFINE.test_box_volume) ... skipped "No 'ref' executable" test_boxhole (test_refine.TestREFINE.test_boxhole) ... skipped "No 'ref' executable" test_cfdSingleBody (test_refine.TestREFINE.test_cfdSingleBody) ... skipped "No 'ref' executable" test_fun3d (test_refine.TestREFINE.test_fun3d) ... skipped "No 'ref' executable" test_inputs (test_refine.TestREFINE.test_inputs) ... skipped "No 'ref' executable" test_phase (test_refine.TestREFINE.test_phase) ... skipped "No 'ref' executable" test_sphere (test_refine.TestREFINE.test_sphere) ... skipped "No 'ref' executable" EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! test_inputs (test_su2.TestSU2.test_inputs) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting volume mesh UG PARAM : SETTING INPUT PARAMETERS FROM ARGUMENT VECTOR UG PARAM : mrecm = 3 UG PARAM : mrecqm = 3 UG PARAM : mpfrmt = 0 EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : EGADS CAD Geometry Setup EGADS : Model has 3 Active Bodies EGADS : Body 0 is a SolidBody EGADS : Body 0 has 1 Shells EGADS : Body 0 has 8 Faces EGADS : Body 0 has 15 Edges EGADS : Body 0 has 8 Loops EGADS : Body 0 has 9 Nodes EGADS : Body 1 is a SolidBody EGADS : Body 1 has 1 Shells EGADS : Body 1 has 8 Faces EGADS : Body 1 has 15 Edges EGADS : Body 1 has 8 Loops EGADS : Body 1 has 9 Nodes EGADS : Body 2 is a SolidBody EGADS : Body 2 has 1 Shells EGADS : Body 2 has 2 Faces EGADS : Body 2 has 6 Edges EGADS : Body 2 has 2 Loops EGADS : Body 2 has 2 Nodes EGADS : Check Grid BCs EGADS : Grid BCs are OK EGADS : Face Neighbor-Faces Information EGADS : QC = Face Quad Combination Flag EGADS : IER = Face Isolated Edge Refinement Flag EGADS : ER = Face Edge Refinement Factor EGADS : Not applicable for Faces with a FarField Grid BC. EGADS : SF = Face Scale Factor EGADS : Not applicable for Faces with a FarField Grid BC. EGADS : Face Body QC IER ER Scale Neighbor EGADS : ID Active ID Flag Flag Weight Factor Faces EGADS : 1 Yes 0 0 1 1 1 2 3 4 5 EGADS : 2 Yes 0 0 1 1 1 1 3 4 6 EGADS : 3 Yes 0 0 1 1 1 1 2 4 7 EGADS : 4 Yes 0 0 1 1 1 1 2 3 EGADS : 5 Yes 0 0 1 1 1 1 6 7 8 EGADS : 6 Yes 0 0 1 1 1 2 5 7 8 EGADS : 7 Yes 0 0 1 1 1 3 5 6 8 EGADS : 8 Yes 0 0 1 1 1 5 6 7 EGADS : 9 Yes 1 0 1 0 10 10 11 12 13 EGADS : 10 Yes 1 0 1 0 10 9 11 12 14 EGADS : 11 Yes 1 0 1 0 10 9 10 12 15 EGADS : 12 Yes 1 0 1 0 10 9 10 11 EGADS : 13 Yes 1 0 1 0 10 9 14 15 16 EGADS : 14 Yes 1 0 1 0 10 10 13 15 16 EGADS : 15 Yes 1 0 1 0 10 11 13 14 16 EGADS : 16 Yes 1 0 1 0 10 13 14 15 EGADS : 17 Yes 2 0 1 NA NA 18 EGADS : 18 Yes 2 0 1 NA NA 17 EGADS : Face Edges Information EGADS : Face Edges EGADS : 1 1 4 -3 -2 EGADS : 2 3 7 -6 -5 EGADS : 3 6 9 -1 -8 EGADS : 4 -4 -9 -7 EGADS : 5 -10 2 11 -12 EGADS : 6 -11 5 13 -14 EGADS : 7 -13 8 10 -15 EGADS : 8 12 14 15 EGADS : 9 16 19 -18 -17 EGADS : 10 18 22 -21 -20 EGADS : 11 21 24 -16 -23 EGADS : 12 -19 -24 -22 EGADS : 13 -25 17 26 -27 EGADS : 14 -26 20 28 -29 EGADS : 15 -28 23 25 -30 EGADS : 16 27 29 30 EGADS : 17 -31 -32 33 34 EGADS : 18 -35 -34 36 32 EGADS : Face Loops Information EGADS : Face Loops EGADS : 1 1 EGADS : 2 2 EGADS : 3 3 EGADS : 4 4 EGADS : 5 5 EGADS : 6 6 EGADS : 7 7 EGADS : 8 8 EGADS : 9 9 EGADS : 10 10 EGADS : 11 11 EGADS : 12 12 EGADS : 13 13 EGADS : 14 14 EGADS : 15 15 EGADS : 16 16 EGADS : 17 17 EGADS : 18 18 EGADS : Loop Edges Information EGADS : Loop Active Sense Edges EGADS : 1 Yes 1 1 4 -3 -2 EGADS : 2 Yes 1 3 7 -6 -5 EGADS : 3 Yes 1 6 9 -1 -8 EGADS : 4 Yes 1 -4 -9 -7 EGADS : 5 Yes 1 -10 2 11 -12 EGADS : 6 Yes 1 -11 5 13 -14 EGADS : 7 Yes 1 -13 8 10 -15 EGADS : 8 Yes 1 12 14 15 EGADS : 9 Yes 1 16 19 -18 -17 EGADS : 10 Yes 1 18 22 -21 -20 EGADS : 11 Yes 1 21 24 -16 -23 EGADS : 12 Yes 1 -19 -24 -22 EGADS : 13 Yes 1 -25 17 26 -27 EGADS : 14 Yes 1 -26 20 28 -29 EGADS : 15 Yes 1 -28 23 25 -30 EGADS : 16 Yes 1 27 29 30 EGADS : 17 Yes 1 -31 -32 33 34 EGADS : 18 Yes 1 -35 -34 36 32 EGADS : Edge Node, Scale Factor, and Neighbor-Faces Information EGADS : ESF = Edge Scale Factor EGADS : Not applicable for DEGENERATE Edges or Edges with a FarField EGADS : Grid BC Neighbor Face. EGADS : Neighbor EGADS : Edge Body Active Node1 Node2 ESF Faces EGADS : 1 0 Yes 1 2 1 1 3 EGADS : 2 0 Yes 1 3 1 1 5 EGADS : 3 0 Yes 3 4 1 1 2 EGADS : 4 0 Yes 2 4 1 1 4 EGADS : 5 0 Yes 3 5 1 2 6 EGADS : 6 0 Yes 5 6 1 2 3 EGADS : 7 0 Yes 4 6 1 2 4 EGADS : 8 0 Yes 5 1 1 3 7 EGADS : 9 0 Yes 6 2 1 3 4 EGADS : 10 0 Yes 1 7 1 5 7 EGADS : 11 0 Yes 3 8 1 5 6 EGADS : 12 0 Yes 7 8 1 5 8 EGADS : 13 0 Yes 5 9 1 6 7 EGADS : 14 0 Yes 8 9 1 6 8 EGADS : 15 0 Yes 9 7 1 7 8 EGADS : 16 1 Yes 10 11 1 9 11 EGADS : 17 1 Yes 10 12 1 9 13 EGADS : 18 1 Yes 12 13 1 9 10 EGADS : 19 1 Yes 11 13 1 9 12 EGADS : 20 1 Yes 12 14 1 10 14 EGADS : 21 1 Yes 14 15 1 10 11 EGADS : 22 1 Yes 13 15 1 10 12 EGADS : 23 1 Yes 14 10 1 11 15 EGADS : 24 1 Yes 15 11 1 11 12 EGADS : 25 1 Yes 10 16 1 13 15 EGADS : 26 1 Yes 12 17 1 13 14 EGADS : 27 1 Yes 16 17 1 13 16 EGADS : 28 1 Yes 14 18 1 14 15 EGADS : 29 1 Yes 17 18 1 14 16 EGADS : 30 1 Yes 18 16 1 15 16 EGADS : 31 2 DEGEN 19 19 - 17 EGADS : 32 2 Yes 20 19 NA 17 18 EGADS : 33 2 DEGEN 20 20 - 17 EGADS : 34 2 Yes 20 19 NA 17 18 EGADS : 35 2 DEGEN 19 19 - 18 EGADS : 36 2 DEGEN 20 20 - 18 EGADS : Node Information EGADS : Node Active Coordinates EGADS : 1 Yes 3.77246052 0 0.00459131419 EGADS : 2 Yes 5.90487498 7.07106781 0 EGADS : 3 Yes 0 0 0 EGADS : 4 Yes 4.0824829 7.07106781 0.123425948 EGADS : 5 Yes 3.77001182 0 0 EGADS : 6 Yes 5.90282524 7.07106781 0 EGADS : 7 Yes 5.90487498 0 0 EGADS : 8 Yes 4.0824829 0 0.123425948 EGADS : 9 Yes 5.90282524 0 0 EGADS : 10 Yes 11.5089842 0 0.00183652568 EGADS : 11 Yes 12.36195 2.82842712 0 EGADS : 12 Yes 10 0 0 EGADS : 13 Yes 11.6329932 2.82842712 0.0493703791 EGADS : 14 Yes 11.5080047 0 0 EGADS : 15 Yes 12.3611301 2.82842712 0 EGADS : 16 Yes 12.36195 0 0 EGADS : 17 Yes 11.6329932 0 0.0493703791 EGADS : 18 Yes 12.3611301 0 0 EGADS : 19 Yes 0 0 80 EGADS : 20 Yes 0 0 0 EGADS : Face and Edge Match Information EGADS : No Face Matches Found AFLR4 : Surface Mesh Spacing Setup AFLR4 : Max Bounding Box Length = 160 AFLR4 : Min Bounding Box Length = 160 AFLR4 : Max Ref Bounding Box Length = 14.1421 AFLR4 : Min Ref Bounding Box Length = 0.890888 AFLR4 : Reference Length = 0.890888 AFLR4 : BL Thickness = 0 AFLR4 : FarField Spacing = 15.6122 AFLR4 : Abs Min Surf Spacing = 0.00222722 AFLR4 : Min Surf Spacing = 0.00445444 AFLR4 : Max Surf Spacing = 0.0890888 AFLR4 : Global Scale Factor = 1 AFLR4 : Geometry Definition Information AFLR4 : Definition Definition Composite Grid BC AFLR4 : ID Type ID Type AFLR4 : 0 glue-only composite - - AFLR4 : 1 CAD geometry 0 STD AFLR4 : 2 CAD geometry 0 STD AFLR4 : 3 CAD geometry 0 STD AFLR4 : 4 CAD geometry 0 STD AFLR4 : 5 CAD geometry 0 STD AFLR4 : 6 CAD geometry 0 STD AFLR4 : 7 CAD geometry 0 STD AFLR4 : 8 CAD geometry 0 STD AFLR4 : 9 CAD geometry 0 STD AFLR4 : 10 CAD geometry 0 STD AFLR4 : 11 CAD geometry 0 STD AFLR4 : 12 CAD geometry 0 STD AFLR4 : 13 CAD geometry 0 STD AFLR4 : 14 CAD geometry 0 STD AFLR4 : 15 CAD geometry 0 STD AFLR4 : 16 CAD geometry 0 STD AFLR4 : 17 CAD geometry 0 FARFIELD AFLR4 : 18 CAD geometry 0 FARFIELD AFLR4 : Case has 2 Proximity Checking Components AFLR4 : Component 1 includes Definitions 1 2 3 4 5 6 7 8 AFLR4 : Component 2 includes Definitions 9 10 11 12 13 14 15 16 AFLR4 : Define CAD surface definition 1 AFLR4 : Define CAD surface definition 2 AFLR4 : Define CAD surface definition 3 AFLR4 : Define CAD surface definition 4 AFLR4 : Define CAD surface definition 5 AFLR4 : Define CAD surface definition 6 AFLR4 : Define CAD surface definition 7 AFLR4 : Define CAD surface definition 8 AFLR4 : Define CAD surface definition 9 AFLR4 : Define CAD surface definition 10 AFLR4 : Define CAD surface definition 11 AFLR4 : Define CAD surface definition 12 AFLR4 : Define CAD surface definition 13 AFLR4 : Define CAD surface definition 14 AFLR4 : Define CAD surface definition 15 AFLR4 : Define CAD surface definition 16 AFLR4 : Define CAD surface definition 17 AFLR4 : Define CAD surface definition 18 AFLR43 : INPUT SURFACE MESH FROM TESS AFLR43 : Quad Surface Faces= 0 AFLR43 : Tria Surface Faces= 12406 AFLR43 : Nodes = 6209 UG PARAM : SETTING INPUT PARAMETERS FROM ARGUMENT VECTOR UG PARAM : mrecm = 3 UG PARAM : mrecqm = 3 UG PARAM : mpfrmt = 0 AFLR3 : --------------------------------------- AFLR3 : AFLR3 LIBRARY AFLR3 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR3 : TETRAHEDRAL GRID GENERATOR AFLR3 : Version Number 16.32.49 AFLR3 : Version Date 07/26/23 @ 12:32AM AFLR3 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR3 : Compile Date 07/26/23 @ 01:49PM AFLR3 : Copyright 1994-2021, D.L. Marcum AFLR3 : --------------------------------------- AFLR3 IC : INPUT SURFACE GRID CHECK AFLR3 IC : Nodes, Elements = 6209 0 UG3 : Boundary Conditions UG3 : B-Face ID Boundary Condition UG3 : 1 Solid UG3 : 2 Solid UG3 : 3 Solid UG3 : 4 Solid UG3 : 5 Solid UG3 : 6 Solid UG3 : 7 Solid UG3 : 8 Solid UG3 : 9 Solid UG3 : 10 Solid UG3 : 11 Solid UG3 : 12 Solid UG3 : 13 Solid UG3 : 14 Solid UG3 : 15 Solid UG3 : 16 Solid UG3 : 17 FarField UG3 : 18 FarField AFLR3 : CPU Time = 0.018 seconds AFLR3 IG : INITIAL VOLUME GRID GENERATION AFLR3 IG : Nodes, Elements = 6209 0 AFLR3 IG : Nodes, Elements = 8 10 AFLR3 IG : Nodes, Elements = 1244 7652 AFLR3 IG : Nodes, Elements = 2488 16237 AFLR3 IG : Nodes, Elements = 3732 24911 AFLR3 IG : Nodes, Elements = 4976 33948 AFLR3 IG : Nodes, Elements = 6216 42984 AFLR3 IG : Nodes, Elements = 6216 42933 AFLR3 IG : Nodes, Elements = 6216 42937 AFLR3 IG : Nodes, Elements = 6216 42932 AFLR3 IG : Nodes, Elements = 6216 42937 AFLR3 IG : Nodes, Elements = 6216 42929 AFLR3 IG : Nodes, Elements = 6216 42930 AFLR3 IG : Nodes, Elements = 6209 25550 AFLR3 IG : Nodes, Elements = 6209 25566 AFLR3 IG : Nodes, Elements = 6209 20540 AFLR3 IG : Nodes, Elements = 6209 18448 AFLR3 : CPU Time = 0.354 seconds AFLR3 GG : ISOTROPIC VOLUME GRID GENERATION AFLR3 GG : Nodes, Elements = 6209 18448 AFLR3 GG : Nodes, Elements = 9079 27281 AFLR3 GG : Nodes, Elements = 9079 39121 AFLR3 GG : Nodes, Elements = 9079 36197 AFLR3 GG : Nodes, Elements = 9079 34744 AFLR3 GG : Nodes, Elements = 11681 42866 AFLR3 GG : Nodes, Elements = 11681 54298 AFLR3 GG : Nodes, Elements = 11681 51093 AFLR3 GG : Nodes, Elements = 11681 50124 AFLR3 GG : Nodes, Elements = 14397 58551 AFLR3 GG : Nodes, Elements = 14397 70338 AFLR3 GG : Nodes, Elements = 14397 67015 AFLR3 GG : Nodes, Elements = 14397 66130 AFLR3 GG : Nodes, Elements = 17358 75203 AFLR3 GG : Nodes, Elements = 17358 88184 AFLR3 GG : Nodes, Elements = 17358 84568 AFLR3 GG : Nodes, Elements = 17358 83727 AFLR3 GG : Nodes, Elements = 20490 93306 AFLR3 GG : Nodes, Elements = 20490 106714 AFLR3 GG : Nodes, Elements = 20490 103035 AFLR3 GG : Nodes, Elements = 20490 102188 AFLR3 GG : Nodes, Elements = 23813 112314 AFLR3 GG : Nodes, Elements = 23813 126415 AFLR3 GG : Nodes, Elements = 23813 122781 AFLR3 GG : Nodes, Elements = 23813 121954 AFLR3 GG : Nodes, Elements = 27248 132419 AFLR3 GG : Nodes, Elements = 27248 146890 AFLR3 GG : Nodes, Elements = 27248 143034 AFLR3 GG : Nodes, Elements = 27248 142204 AFLR3 GG : Nodes, Elements = 30770 152895 AFLR3 GG : Nodes, Elements = 30770 167926 AFLR3 GG : Nodes, Elements = 30770 163938 AFLR3 GG : Nodes, Elements = 30770 163150 AFLR3 GG : Nodes, Elements = 34346 173973 AFLR3 GG : Nodes, Elements = 34346 189149 AFLR3 GG : Nodes, Elements = 34346 185163 AFLR3 GG : Nodes, Elements = 34346 184313 AFLR3 GG : Nodes, Elements = 37916 195090 AFLR3 GG : Nodes, Elements = 37916 210238 AFLR3 GG : Nodes, Elements = 37916 206344 AFLR3 GG : Nodes, Elements = 37916 205543 AFLR3 GG : Nodes, Elements = 41467 216245 AFLR3 GG : Nodes, Elements = 41467 231445 AFLR3 GG : Nodes, Elements = 41467 227379 AFLR3 GG : Nodes, Elements = 41467 226633 AFLR3 GG : Nodes, Elements = 45002 237263 AFLR3 GG : Nodes, Elements = 45002 252154 AFLR3 GG : Nodes, Elements = 45002 248300 AFLR3 GG : Nodes, Elements = 45002 247612 AFLR3 GG : Nodes, Elements = 48279 257475 AFLR3 GG : Nodes, Elements = 48279 271442 AFLR3 GG : Nodes, Elements = 48279 267755 AFLR3 GG : Nodes, Elements = 48279 267108 AFLR3 GG : Nodes, Elements = 51523 276863 AFLR3 GG : Nodes, Elements = 51523 290541 AFLR3 GG : Nodes, Elements = 51523 287022 AFLR3 GG : Nodes, Elements = 51523 286438 AFLR3 GG : Nodes, Elements = 54641 295808 AFLR3 GG : Nodes, Elements = 54641 309046 AFLR3 GG : Nodes, Elements = 54641 305702 AFLR3 GG : Nodes, Elements = 54641 305130 AFLR3 GG : Nodes, Elements = 57572 313935 AFLR3 GG : Nodes, Elements = 57572 326294 AFLR3 GG : Nodes, Elements = 57572 323089 AFLR3 GG : Nodes, Elements = 57572 322634 AFLR3 GG : Nodes, Elements = 60265 330720 AFLR3 GG : Nodes, Elements = 60265 342019 AFLR3 GG : Nodes, Elements = 60265 339243 AFLR3 GG : Nodes, Elements = 60265 338826 AFLR3 GG : Nodes, Elements = 62670 346044 AFLR3 GG : Nodes, Elements = 62670 356134 AFLR3 GG : Nodes, Elements = 62670 353540 AFLR3 GG : Nodes, Elements = 62670 353182 AFLR3 GG : Nodes, Elements = 64773 359494 AFLR3 GG : Nodes, Elements = 64773 368308 AFLR3 GG : Nodes, Elements = 64773 366056 AFLR3 GG : Nodes, Elements = 64773 365774 AFLR3 GG : Nodes, Elements = 66518 371014 AFLR3 GG : Nodes, Elements = 66518 378315 AFLR3 GG : Nodes, Elements = 66518 376429 AFLR3 GG : Nodes, Elements = 66518 376242 AFLR3 GG : Nodes, Elements = 67863 380280 AFLR3 GG : Nodes, Elements = 67863 386066 AFLR3 GG : Nodes, Elements = 67863 384446 AFLR3 GG : Nodes, Elements = 67863 384299 AFLR3 GG : Nodes, Elements = 68847 387252 AFLR3 GG : Nodes, Elements = 68847 391450 AFLR3 GG : Nodes, Elements = 68847 390313 AFLR3 GG : Nodes, Elements = 68847 390217 AFLR3 GG : Nodes, Elements = 69483 392125 AFLR3 GG : Nodes, Elements = 69483 394800 AFLR3 GG : Nodes, Elements = 69483 394050 AFLR3 GG : Nodes, Elements = 69483 393997 AFLR3 GG : Nodes, Elements = 69860 395129 AFLR3 GG : Nodes, Elements = 69860 396737 AFLR3 GG : Nodes, Elements = 69860 396265 AFLR3 GG : Nodes, Elements = 69860 396227 AFLR3 GG : Nodes, Elements = 70069 396854 AFLR3 GG : Nodes, Elements = 70069 397760 AFLR3 GG : Nodes, Elements = 70069 397500 AFLR3 GG : Nodes, Elements = 70069 397469 AFLR3 GG : Nodes, Elements = 70171 397776 AFLR3 GG : Nodes, Elements = 70171 398229 AFLR3 GG : Nodes, Elements = 70171 398072 AFLR3 GG : Nodes, Elements = 70171 398069 AFLR3 GG : Nodes, Elements = 70208 398180 AFLR3 GG : Nodes, Elements = 70208 398332 AFLR3 GG : Nodes, Elements = 70208 398291 AFLR3 GG : Nodes, Elements = 70208 398286 AFLR3 GG : Nodes, Elements = 70220 398322 AFLR3 GG : Nodes, Elements = 70220 398368 AFLR3 GG : Nodes, Elements = 70220 398354 AFLR3 GG : Nodes, Elements = 70220 398352 AFLR3 GG : Nodes, Elements = 70222 398358 AFLR3 GG : Nodes, Elements = 70222 398368 AFLR3 GG : Nodes, Elements = 70222 398364 AFLR3 GG : Nodes, Elements = 70223 398367 AFLR3 GG : Nodes, Elements = 70223 398369 AFLR3 GG : Nodes, Elements = 70223 398368 AFLR3 GG : Nodes, Elements = 70250 398449 AFLR3 GG : Nodes, Elements = 70250 398543 AFLR3 GG : Nodes, Elements = 70250 398516 AFLR3 GG : Nodes, Elements = 70250 398517 AFLR3 GG : Nodes, Elements = 70257 398538 AFLR3 GG : Nodes, Elements = 70257 398567 AFLR3 GG : Nodes, Elements = 70257 398557 AFLR3 GG : Nodes, Elements = 70257 398555 AFLR3 : CPU Time = 5.529 seconds AFLR3 QI : QUALITY IMPROVEMENT AFLR3 QI : Nodes, Elements = 70257 398555 AFLR3 QI : Nodes, Elements = 68854 390644 AFLR3 QI : Nodes, Elements = 68646 389484 AFLR3 QI : Nodes, Elements = 68630 389399 AFLR3 QI : Nodes, Elements = 68630 393093 AFLR3 QI : Nodes, Elements = 68630 389134 AFLR3 QI : Nodes, Elements = 68630 388778 AFLR3 QI : Nodes, Elements = 68630 389158 AFLR3 QI : Nodes, Elements = 68630 388513 AFLR3 QI : Nodes, Elements = 68630 388273 AFLR3 QI : Nodes, Elements = 68630 388540 AFLR3 QI : Nodes, Elements = 68630 388242 AFLR3 QI : Nodes, Elements = 68630 388145 AFLR3 QI : Nodes, Elements = 68630 388406 AFLR3 QI : Nodes, Elements = 68630 388141 AFLR3 QI : Nodes, Elements = 68630 388083 AFLR3 QI : Nodes, Elements = 68630 388358 AFLR3 QI : Nodes, Elements = 68630 388093 AFLR3 QI : Nodes, Elements = 68630 388036 AFLR3 QI : Nodes, Elements = 68637 388068 AFLR3 QI : Nodes, Elements = 68637 388324 AFLR3 QI : Nodes, Elements = 68637 388086 AFLR3 QI : Nodes, Elements = 68637 388071 AFLR3 QI : Nodes, Elements = 68637 388349 AFLR3 QI : Nodes, Elements = 68637 388084 AFLR3 QI : Nodes, Elements = 68637 388051 AFLR3 : CPU Time = 1.909 seconds AFLR3 QRG: QUALITY GRID RE-GENERATION AFLR3 QRG: Nodes, Elements = 68637 388051 AFLR3 QRG: Nodes, Elements = 68634 388034 AFLR3 QRG: Nodes, Elements = 68633 388028 AFLR3 QRG: Nodes, Elements = 68635 388038 AFLR3 QRG: Nodes, Elements = 68651 388119 AFLR3 QRG: Nodes, Elements = 68650 388113 AFLR3 QRG: Nodes, Elements = 68653 388131 AFLR3 QRG: Nodes, Elements = 68654 388136 AFLR3 QRG: Nodes, Elements = 68653 388131 AFLR3 : CPU Time = 0.064 seconds AFLR3 : DONE UG3 : DIHEDRAL ANGLE CHECK UG3 : No. Tet Elems = 388131 UG3 : Min, Max Ang = 1.144 177.5 UG3 : Average Angle = 70.2 UG3 : No. Angle>160.0 = 54 UG3 : No. Angle>179.9 = 0 UG3 : VOL CHECK UG3 : Total-Volume = 2.11e+06 UG3 : No. Tet Elems = 388131 UG3 : Min Vol = 6.55e-10 UG3 : Average Vol = 5.43 UG3 : Total-Tet-Vol = 2.11e+06 UG3 : No. Vol160.0 = 54 UG3 : No. Angle>179.9 = 0 UG3 : VOL CHECK UG3 : Total-Volume = 2.11e+06 UG3 : No. Tet Elems = 388131 UG3 : Min Vol = 6.55e-10 UG3 : Average Vol = 5.43 UG3 : Total-Tet-Vol = 2.11e+06 UG3 : No. Vol Edge #9 (9) Internally in Loop 4 7, sen = -1! 7F005B16B740 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 7 4, sen = -1! 7F005B16B740 EGADS Info: Face #5 -> Edge #17 (9) Internally in Loop 4 7, sen = 1! 7F002FFFF700 EGADS Info: Face #3 -> Edge #9 (9) Internally in Loop 7 4, sen = 1! Getting surface mesh for body 7 (of 7) Body 1 (of 7) Number of nodes = 98 Number of elements = 192 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 192 Number of quadrilateral elements = 0 Body 2 (of 7) Number of nodes = 296 Number of elements = 588 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 588 Number of quadrilateral elements = 0 Body 3 (of 7) Number of nodes = 487 Number of elements = 970 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 970 Number of quadrilateral elements = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 4 (of 7) Number of nodes = 2048 Number of elements = 4096 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 4096 Number of quadrilateral elements = 0 Body 5 (of 7) Number of nodes = 152 Number of elements = 300 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 300 Number of quadrilateral elements = 0 Body 6 (of 7) Number of nodes = 624 Number of elements = 1248 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1248 Number of quadrilateral elements = 0 Body 7 (of 7) Number of nodes = 488 Number of elements = 972 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 972 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 4193 Total number of elements = 8366 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 1 number of surface: nodes - 98, elements - 192 Body 2 number of surface: nodes - 296, elements - 588 Body 3 number of surface: nodes - 487, elements - 970 Body 4 number of surface: nodes - 2048, elements - 4096 Body 5 number of surface: nodes - 152, elements - 300 Body 6 number of surface: nodes - 624, elements - 1248 Body 7 number of surface: nodes - 488, elements - 972 Getting volume mesh for body 1 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = box, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0 Refining mesh... Refinement seconds: 0 Smoothing vertices... Mesh smoothing seconds: 0.01 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.01 Statistics: Input points: 98 Input facets: 192 Input segments: 288 Input holes: 0 Input regions: 0 Mesh points: 100 Mesh tetrahedra: 232 Mesh faces: 560 Mesh faces on exterior boundary: 192 Mesh faces on input facets: 192 Mesh edges on input segments: 288 Steiner points inside domain: 2 Done meshing using TetGen! Getting volume mesh for body 2 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cylinder, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.01 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0 Refining mesh... Refinement seconds: 0.03 Smoothing vertices... Mesh smoothing seconds: 0.02 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.07 Statistics: Input points: 296 Input facets: 588 Input segments: 882 Input holes: 0 Input regions: 0 Mesh points: 353 Mesh tetrahedra: 1100 Mesh faces: 2494 Mesh faces on exterior boundary: 588 Mesh faces on input facets: 588 Mesh edges on input segments: 882 Steiner points inside domain: 57 Done meshing using TetGen! Getting volume mesh for body 3 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = cone, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.06 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.02 Refining mesh... 649 insertions, added 155 points, 7158 tetrahedra in queue. 216 insertions, added 12 points, 5981 tetrahedra in queue. 288 insertions, added 8 points, 2410 tetrahedra in queue. 383 insertions, added 17 points, 507 tetrahedra in queue. 511 insertions, added 13 points, 30 tetrahedra in queue. Refinement seconds: 0.16 Smoothing vertices... Mesh smoothing seconds: 0.06 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.31 Statistics: Input points: 487 Input facets: 970 Input segments: 1455 Input holes: 0 Input regions: 0 Mesh points: 702 Mesh tetrahedra: 2858 Mesh faces: 6201 Mesh faces on exterior boundary: 970 Mesh faces on input facets: 970 Mesh edges on input segments: 1455 Steiner points inside domain: 215 Done meshing using TetGen! Getting volume mesh for body 4 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = torus, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.43 Creating surface mesh ... Surface mesh seconds: 0.01 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.13 Refining mesh... Refinement seconds: 0.31 Smoothing vertices... Mesh smoothing seconds: 0.21 Improving mesh... Mesh improvement seconds: 0.01 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 1.11 Statistics: Input points: 2048 Input facets: 4096 Input segments: 6144 Input holes: 0 Input regions: 0 Mesh points: 2914 Mesh tetrahedra: 11384 Mesh faces: 24816 Mesh faces on exterior boundary: 4096 Mesh faces on input facets: 4096 Mesh edges on input segments: 6144 Steiner points inside domain: 866 Done meshing using TetGen! Getting volume mesh for body 5 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = sphere, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0 Refining mesh... 202 insertions, added 45 points, 843 tetrahedra in queue. Refinement seconds: 0.01 Smoothing vertices... Mesh smoothing seconds: 0 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.01 Statistics: Input points: 152 Input facets: 300 Input segments: 450 Input holes: 0 Input regions: 0 Mesh points: 199 Mesh tetrahedra: 677 Mesh faces: 1504 Mesh faces on exterior boundary: 300 Mesh faces on input facets: 300 Mesh edges on input segments: 450 Steiner points inside domain: 47 Done meshing using TetGen! Getting volume mesh for body 6 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = boxhole, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.05 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0 Refining mesh... 831 insertions, added 225 points, 6110 tetrahedra in queue. 276 insertions, added 14 points, 855 tetrahedra in queue. Refinement seconds: 0.07 Smoothing vertices... Mesh smoothing seconds: 0.06 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.19 Statistics: Input points: 624 Input facets: 1248 Input segments: 1872 Input holes: 0 Input regions: 0 Mesh points: 863 Mesh tetrahedra: 3252 Mesh faces: 7128 Mesh faces on exterior boundary: 1248 Mesh faces on input facets: 1248 Mesh edges on input segments: 1872 Steiner points inside domain: 239 Done meshing using TetGen! Getting volume mesh for body 7 (of 7) Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = bullet, index = 1 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.04 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.04 Refining mesh... Refinement seconds: 0.04 Smoothing vertices... Mesh smoothing seconds: 0.03 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.15 Statistics: Input points: 488 Input facets: 972 Input segments: 1458 Input holes: 0 Input regions: 0 Mesh points: 675 Mesh tetrahedra: 2506 Mesh faces: 5498 Mesh faces on exterior boundary: 972 Mesh faces on input facets: 972 Mesh edges on input segments: 1458 Steiner points inside domain: 187 Done meshing using TetGen! Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Writing AFLR3 file .... Finished writing AFLR3 file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = box, index = 1 Name = cylinder, index = 2 Name = cone, index = 3 Name = torus, index = 4 Name = sphere, index = 5 Name = boxhole, index = 6 Name = bullet, index = 7 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 1 number of surface: nodes - 98, elements - 192 Body 2 number of surface: nodes - 296, elements - 588 Body 3 number of surface: nodes - 487, elements - 970 Body 4 number of surface: nodes - 2048, elements - 4096 Body 5 number of surface: nodes - 152, elements - 300 Body 6 number of surface: nodes - 624, elements - 1248 Body 7 number of surface: nodes - 488, elements - 972 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0 Refining mesh... Refinement seconds: 0 Smoothing vertices... Mesh smoothing seconds: 0.01 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.01 Statistics: Input points: 98 Input facets: 192 Input segments: 288 Input holes: 0 Input regions: 1 Mesh points: 100 Mesh tetrahedra: 232 Mesh faces: 560 Mesh faces on exterior boundary: 192 Mesh faces on input facets: 192 Mesh edges on input segments: 288 Steiner points inside domain: 2 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.01 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.01 Refining mesh... Refinement seconds: 0.02 Smoothing vertices... Mesh smoothing seconds: 0.01 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.05 Statistics: Input points: 296 Input facets: 588 Input segments: 882 Input holes: 0 Input regions: 2 Mesh points: 353 Mesh tetrahedra: 1100 Mesh faces: 2494 Mesh faces on exterior boundary: 588 Mesh faces on input facets: 588 Mesh edges on input segments: 882 Steiner points inside domain: 57 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.06 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.01 Refining mesh... 649 insertions, added 155 points, 7158 tetrahedra in queue. 216 insertions, added 12 points, 5981 tetrahedra in queue. 288 insertions, added 8 points, 2410 tetrahedra in queue. 383 insertions, added 17 points, 507 tetrahedra in queue. 511 insertions, added 13 points, 30 tetrahedra in queue. Refinement seconds: 0.15 Smoothing vertices... Mesh smoothing seconds: 0.05 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.28 Statistics: Input points: 487 Input facets: 970 Input segments: 1455 Input holes: 0 Input regions: 3 Mesh points: 702 Mesh tetrahedra: 2858 Mesh faces: 6201 Mesh faces on exterior boundary: 970 Mesh faces on input facets: 970 Mesh edges on input segments: 1455 Steiner points inside domain: 215 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.41 Creating surface mesh ... Surface mesh seconds: 0.01 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.13 Refining mesh... Refinement seconds: 0.31 Smoothing vertices... Mesh smoothing seconds: 0.24 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.01 Total running seconds: 1.12 Statistics: Input points: 2048 Input facets: 4096 Input segments: 6144 Input holes: 0 Input regions: 4 Mesh points: 2893 Mesh tetrahedra: 11251 Mesh faces: 24550 Mesh faces on exterior boundary: 4096 Mesh faces on input facets: 4096 Mesh edges on input segments: 6144 Steiner points inside domain: 845 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0 Refining mesh... 202 insertions, added 45 points, 843 tetrahedra in queue. Refinement seconds: 0 Smoothing vertices... Mesh smoothing seconds: 0.01 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.01 Statistics: Input points: 152 Input facets: 300 Input segments: 450 Input holes: 0 Input regions: 5 Mesh points: 199 Mesh tetrahedra: 677 Mesh faces: 1504 Mesh faces on exterior boundary: 300 Mesh faces on input facets: 300 Mesh edges on input segments: 450 Steiner points inside domain: 47 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.05 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Warning: The 5-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.01 Refining mesh... 831 insertions, added 225 points, 6110 tetrahedra in queue. 276 insertions, added 14 points, 855 tetrahedra in queue. Refinement seconds: 0.07 Smoothing vertices... Mesh smoothing seconds: 0.06 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.2 Statistics: Input points: 624 Input facets: 1248 Input segments: 1872 Input holes: 0 Input regions: 6 Mesh points: 863 Mesh tetrahedra: 3252 Mesh faces: 7128 Mesh faces on exterior boundary: 1248 Mesh faces on input facets: 1248 Mesh edges on input segments: 1872 Steiner points inside domain: 239 Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.04 Creating surface mesh ... Surface mesh seconds: 0 Recovering boundaries... Boundary recovery seconds: 0.01 Removing exterior tetrahedra ... Warning: The 1-th region point lies outside the convex hull. Warning: The 2-th region point lies outside the convex hull. Warning: The 3-th region point lies outside the convex hull. Warning: The 4-th region point lies outside the convex hull. Warning: The 5-th region point lies outside the convex hull. Warning: The 6-th region point lies outside the convex hull. Spreading region attributes. Exterior tets removal seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.03 Refining mesh... Refinement seconds: 0.04 Smoothing vertices... Mesh smoothing seconds: 0.03 Improving mesh... Mesh improvement seconds: 0 Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0 Total running seconds: 0.15 Statistics: Input points: 488 Input facets: 972 Input segments: 1458 Input holes: 0 Input regions: 7 Mesh points: 674 Mesh tetrahedra: 2508 Mesh faces: 5502 Mesh faces on exterior boundary: 972 Mesh faces on input facets: 972 Mesh edges on input segments: 1458 Steiner points inside domain: 186 Done meshing using TetGen! Writing AFLR3 file .... Finished writing AFLR3 file ok test_journal (test_tetgen.TestTETGEN.test_journal) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 CAPS Info: Hit last success -- going live! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! CAPS Info: Hit last success -- going live! Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 ok test_phase (test_tetgen.TestTETGEN.test_phase) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 7130, elements - 14252 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_reenter (test_tetgen.TestTETGEN.test_reenter) ... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Farfield, index = 1 Name = Wing1, index = 2 Name = trailingEdge, index = 3 Getting mesh sizing parameters Mesh sizing name - Farfield Done getting mesh sizing parameters Getting surface mesh for body 1 (of 1) Body 1 (of 1) Number of nodes = 9626 Number of elements = 19244 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 19244 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 9626 Total number of elements = 19244 Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 2 Name = Farfield, index = 1 Name = Wing1, index = 2 Number of surface: nodes - 9626, elements - 19244 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq2.000/0.000QT1.00e-16A Done meshing using TetGen! Writing TECPLOT file: test.dat .... Finished writing TECPLOT file ok test_setInput (test_tetgen.TestTETGEN.test_setInput) ... EGADS Info: 0 Objects, 0 Reference in Use (of 0) at Close! ok test_Cl (test_xfoil.Testxfoil_Kulfan.test_Cl) ... ok test_alpha_custom_increment (test_xfoil.Testxfoil_Kulfan.test_alpha_custom_increment) ... ok test_CL_uniform_increment (test_xfoil.Testxfoil_NACA.test_CL_uniform_increment) ... ok test_Cl (test_xfoil.Testxfoil_NACA.test_Cl) ... ok test_alpha_custom_increment (test_xfoil.Testxfoil_NACA.test_alpha_custom_increment) ... ok test_alpha_uniform_inrement (test_xfoil.Testxfoil_NACA.test_alpha_uniform_inrement) ... ok test_append (test_xfoil.Testxfoil_NACA.test_append) ... ok test_normalize (test_xfoil.Testxfoil_NACA.test_normalize) ... ok test_phase (test_xfoil.Testxfoil_NACA.test_phase) ... ok ---------------------------------------------------------------------- Ran 137 tests in 431.976s OK (skipped=25) + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/ + make test TYPE=MINIMAL (make -C cCAPS -f aeroelastic_SU2.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `aeroelasticSimple_Iterative_SU2_and_MystranTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f avlTest.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `avlTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f awaveTest.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `awaveTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f frictionTest.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `frictionTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f fun3d.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: Nothing to be done for `fun3d'. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f hsm.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `hsm' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f interferenceTest.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `interferenceTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f msesTest.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `msesTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f mystran.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `mystranTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (make -C cCAPS -f pointwiseTest.make) make[1]: Entering directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' make[1]: `pointwiseTest' is up to date. make[1]: Leaving directory `/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/cCAPS' (cd ./regressionTest; ./execute_CTestRegression.sh MINIMAL) Running.... MINIMAL c-Tests All tests pass! (cd ./regressionTest; ./execute_PyTestRegression.sh MINIMAL) ================================================= Using python : /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/ESP_venv/bin/python ================================================= Running.... MINIMAL PyTests ================================================= + echo 'avl_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt avl_PyTest.py test; + python -u avl_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Wing, index = 1 Getting vortex lattice surface data VLM surface name - Wing Done getting vortex lattice surface data Writing surface - Wing (ID = 0) Section 1 of 3 (ID = 0) Section 2 of 3 (ID = 1) Section 3 of 3 (ID = 2) CXtot 0.0006119078685746836 CYtot -6.288067668554914e-15 CZtot -0.3012861394886091 Cltot -4.782099331324466e-14 Cmtot -0.1945055953450467 Cntot -2.339543963831951e-15 Cl'tot -4.785454061647156e-14 Cn'tot -1.504596228417061e-15 CLtot 0.3012509314150772 CDtot 0.004646353488232841 CDvis 0.0 CLff 0.3009503023520527 CYff -7.718708857566269e-15 CDind 0.004646353488232841 CDff 0.004968969500908292 e 0.9669902146157957 StripForces Wing cl = [0.02994790451347, 0.08555545178934, 0.1329003532588, 0.1733025192695, 0.2100182422833, 0.2453427152547, 0.2792746996365, 0.310122128419, 0.3355632628223, 0.3531618776075, 0.3603457261533, 0.3542128805665, 0.3542128805661, 0.3603457261533, 0.3531618776088, 0.3355632628252, 0.3101221284236, 0.2792746996428, 0.2453427152623, 0.2100182422922, 0.1733025192801, 0.1329003532723, 0.08555545180788, 0.0299479045408] Wing cd = [-0.004234137763162, -0.004494543653191, -0.003752887372724, -0.002739626801633, -0.001762021024326, -0.0007386538946402, 0.0005114598078397, 0.0021191472861, 0.004145189908974, 0.006609094404182, 0.009540018987595, 0.01315518814708, 0.01315518814718, 0.009540018987792, 0.00660909440444, 0.004145189909261, 0.002119147286385, 0.0005114598080999, -0.000738653894407, -0.001762021024095, -0.002739626801367, -0.003752887372445, -0.004494543653161, -0.004234137764545] real 0m0.937s user 0m0.691s sys 0m0.472s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case avl_PyTest.py passed (as expected) ================================================= ================================================= + echo 'xfoil_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt xfoil_PyTest.py test; + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt + python -u xfoil_PyTest.py -outLevel=0 -noPlotData Cl = [1.05475230552567, 1.36488869373635, 1.56607214272105, 2.03059709344862, 1.97271083121169, 1.87307153172386, 1.76050475760117] Cd = [0.0131991208675727, 0.0166540240605751, 0.0200551482562831, 0.0458671341969345, 0.0754256009394856, 0.0994469174477522, 0.127479430989037] Alpha = [0.0, 3.0, 5.0, 11.0, 13.0, 14.0, 15.0] Transition location = [0.4960441144043, 0.461377732041502, 0.44237656820185, 0.361251636355465, 0.276104140853559, 0.214381239321467, 0.132988264746661] real 0m5.429s user 0m5.297s sys 0m0.350s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case xfoil_PyTest.py passed (as expected) ================================================= ================================================= + echo 'mses_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt mses_PyTest.py test; + python -u mses_PyTest.py -outLevel=0 -noPlotData + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Cl = 0.37837910855492407 Cd = 0.007775858417210143 Cm = 0.005262636995811319 real 0m5.211s user 0m5.064s sys 0m0.367s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case mses_PyTest.py passed (as expected) ================================================= ================================================= + echo 'friction_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt friction_PyTest.py test; + python -u friction_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Loading AIM Setting Mach & Altitude Values Lifting Surface: Body = 1, units m XLE: 1.571946 -3.872983 0.249728 XTE: 2.536563 -3.872983 0.335335 Chord: 0.968408 Arc: 1.985181 T/C: 0.155975 Type: Wing Lifting Surface: Body = 2, units m XLE: 0.000000 0.000000 0.000000 XTE: 1.614012 0.000000 0.002015 Chord: 1.614013 Arc: 3.308635 T/C: 0.127839 Type: Wing Lifting Surface: Body = 3, units m XLE: 1.571946 3.872983 0.249728 XTE: 2.536563 3.872983 0.335335 Chord: 0.968408 Arc: 1.985181 T/C: 0.155975 Type: Wing Lifting Surface: Body = 4, units m XLE: 5.484123 0.000000 1.468246 XTE: 6.210307 0.000915 1.468246 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: VTail Lifting Surface: Body = 5, units m XLE: 5.000000 0.000000 0.500000 XTE: 6.210307 0.001525 0.500000 Chord: 1.210308 Arc: 2.471195 T/C: 0.120035 Type: VTail Lifting Surface: Body = 6, units m XLE: 5.484123 -0.968246 0.500000 XTE: 6.210307 -0.968246 0.500915 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: HTail Lifting Surface: Body = 7, units m XLE: 5.484123 0.968246 0.500000 XTE: 6.210307 0.968246 0.500915 Chord: 0.726185 Arc: 1.482717 T/C: 0.120035 Type: HTail Body of Revolution: Body = 8, units m Arc: 0.000000 Diameter: 0.000000 Type: Fuse Body of Revolution: Body = 9, units m Arc: 3.714180 Diameter: 1.020621 Type: Fuse Body of Revolution: Body = 10, units m Arc: 2.771209 Diameter: 0.645497 Type: Fuse Body of Revolution: Body = 11, units m Arc: 1.013945 Diameter: 0.322749 Type: Fuse Body of Revolution: Body = 12, units m Arc: 0.101394 Diameter: 0.032275 Type: Fuse Number of sections 4, number of revolution sections 1 Number of Mach-Altitude cases = 2 Total drag = [0.0132, 0.01226] Form drag = [0.0033, 0.00307] Friction drag = [0.0099, 0.00919] real 0m0.504s user 0m0.406s sys 0m0.300s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case friction_PyTest.py passed (as expected) ================================================= ================================================= + echo 'tsfoil_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt tsfoil_PyTest.py test; + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt + python -u tsfoil_PyTest.py -outLevel=0 Getting results PAUSE Press the ENTER key to exit To resume execution, type go. Other input will terminate the job. Cl = 0.933329 Cd = 0.000283 Cd Wave = 0.0 Cm = -0.203433 Cp _Critcal = -1.303869 real 0m0.748s user 0m0.426s sys 0m0.184s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case tsfoil_PyTest.py passed (as expected) ================================================= ================================================= + echo 'delaundo_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt delaundo_PyTest.py test; + python -u delaundo_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 6 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Name = AirfoilTE, index = 6 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 6 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Name = AirfoilTE, index = 6 Getting mesh sizing parameters Mesh sizing name - Airfoil Mesh sizing name - AirfoilTE Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting edge discretization for body 1 Delaundo expects 2D meshes be in the x-y plane... attempting to rotate mesh through node swapping! Swapping z and y coordinates! Writing delaundo control file - delaundo.ctr Writing out *.pts file Reading delaundo mesh file - delaundoMesh.mesh Swapping y and z coordinates! Writing TECPLOT file: delaundoMesh.dat .... Finished writing TECPLOT file real 0m1.372s user 0m0.419s sys 0m0.247s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case delaundo_PyTest.py passed (as expected) ================================================= ================================================= + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt + echo 'egadsTess_PyTest.py test;' egadsTess_PyTest.py test; + python -u egadsTess_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 7 Name = Ribs, index = 1 Name = Skin, index = 2 Name = Spar1, index = 3 Name = Rib_Root, index = 4 Name = Spar2, index = 5 Name = Rib_Root_Point, index = 6 Name = Wing, index = 7 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 2 Name = LeadingEdge, index = 1 Name = TrailingEdge, index = 2 Getting mesh sizing parameters Mesh sizing name - LeadingEdge Done getting mesh sizing parameters Getting surface mesh for body 1 (of 4) Getting surface mesh for body 2 (of 4) Getting surface mesh for body 3 (of 4) Getting surface mesh for body 4 (of 4) Body 1 (of 4) Number of nodes = 3793 Number of elements = 4001 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 4001 Body 2 (of 4) Number of nodes = 1 Number of elements = 1 Number of node elements = 1 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 0 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 3 (of 4) Number of nodes = 76 Number of elements = 55 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 55 Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Body 4 (of 4) Number of nodes = 100 Number of elements = 73 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 73 ---------------------------- Total number of nodes = 3970 Total number of elements = 4130 Writing TECPLOT file: egadsTessMesh_Surf_0.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_1.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_2.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_3.dat .... Finished writing TECPLOT file real 0m6.042s user 0m12.855s sys 0m1.089s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case egadsTess_PyTest.py passed (as expected) ================================================= ================================================= + echo 'aflr2_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt aflr2_PyTest.py test; + python -u aflr2_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:58AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 344 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 344 344 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 70 142 AFLR2 IG : Nodes, Faces = 140 282 AFLR2 IG : Nodes, Faces = 210 422 AFLR2 IG : Nodes, Faces = 280 562 AFLR2 IG : Nodes, Faces = 316 634 AFLR2 IG : Nodes, Faces = 344 690 AFLR2 IG : Nodes, Faces = 348 690 AFLR2 IG : Nodes, Faces = 344 344 AFLR2 : CPU Time = 0.001 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 344 344 AFLR2 GGp: Nodes, Faces = 681 1018 AFLR2 GGp: Nodes, Faces = 1013 1682 AFLR2 GGp: Nodes, Faces = 1339 2334 AFLR2 GGp: Nodes, Faces = 1652 2960 AFLR2 GGp: Nodes, Faces = 1957 3570 AFLR2 GGp: Nodes, Faces = 2252 4160 AFLR2 GGp: Nodes, Faces = 2526 4708 AFLR2 GGp: Nodes, Faces = 2809 5274 AFLR2 GGp: Nodes, Faces = 3083 5822 AFLR2 GGp: Nodes, Faces = 3327 6310 AFLR2 GGp: Nodes, Faces = 3564 6784 AFLR2 GGp: Nodes, Faces = 3788 7232 AFLR2 GGp: Nodes, Faces = 3995 7646 AFLR2 GGp: Nodes, Faces = 4194 8044 AFLR2 GGp: Nodes, Faces = 4394 8444 AFLR2 GGp: Nodes, Faces = 4585 8826 AFLR2 GGp: Nodes, Faces = 4772 9200 AFLR2 GGp: Nodes, Faces = 4943 9542 AFLR2 GGp: Nodes, Faces = 5129 9914 AFLR2 GGp: Nodes, Faces = 5293 10242 AFLR2 GGp: Nodes, Faces = 5447 10550 AFLR2 GGp: Nodes, Faces = 5594 10844 AFLR2 GGp: Nodes, Faces = 5716 11088 AFLR2 GGp: Nodes, Faces = 5830 11316 AFLR2 GGp: Nodes, Faces = 5909 11474 AFLR2 GGp: Nodes, Faces = 5964 11584 AFLR2 GGp: Nodes, Faces = 6006 11668 AFLR2 GGp: Nodes, Faces = 6025 11706 AFLR2 GGp: Nodes, Faces = 6034 11724 AFLR2 : CPU Time = 0.022 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 6034 11724 AFLR2 QI : Nodes, Faces = 6033 454 AFLR2 QI : Nodes, Faces = 6033 5634 AFLR2 QI : Nodes, Faces = 6033 11722 AFLR2 QI : Nodes, Faces = 6032 462 AFLR2 QI : Nodes, Faces = 6032 5629 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 456 AFLR2 QI : Nodes, Faces = 6032 5632 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6032 474 AFLR2 QI : Nodes, Faces = 6032 5623 AFLR2 QI : Nodes, Faces = 6032 11720 AFLR2 QI : Nodes, Faces = 6031 486 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 QI : Nodes, Faces = 6031 488 AFLR2 QI : Nodes, Faces = 6031 5616 AFLR2 : CPU Time = 0.011 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 6031 Number of elements = 6448 Number of tris = 488 Number of quad = 5616 Writing TECPLOT file: pyCAPS_AFLR2_Test.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4722 complete [but 7915] (EG_makeConnect)! EGADS Internal: Face 1, Side 4741 4912 complete [but 7916] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5858 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5850 5851 complete [but 8742] (EG_makeConnect)! EGADS Internal: Face 1, Side 5858 5851 complete [but 8791] (EG_makeConnect)! EGADS Internal: Face 1, Side 4912 4722 complete [but 9417] (EG_makeConnect)! real 0m0.780s user 0m0.838s sys 0m0.402s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case aflr2_PyTest.py passed (as expected) ================================================= ================================================= + echo 'aflr4_and_aflr3_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt aflr4_and_aflr3_PyTest.py test; + python -u aflr4_and_aflr3_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 AFLR4 : Proximity BG Surface Grid Generation Skipped AFLR4 : No Modifications Required Warning: No capsGroup/capsIgnore attribute found on edge 1 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 2 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 3 of face 1, unable to assign a boundary index value Warning: No capsGroup/capsIgnore attribute found on edge 4 of face 1, unable to assign a boundary index value Writing TECPLOT file: pyCAPS_AFLR4_AFLR3.dat .... Finished writing TECPLOT file Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Wake, index = 3 Name = Farfield, index = 4 Getting mesh sizing parameters Mesh sizing name - Wing1 Mesh sizing name - Wing2 Done getting mesh sizing parameters Getting volume mesh AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:58AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR4 : --------------------------------------- AFLR4 : AFLR4 LIBRARY AFLR4 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR4 : TRIA/QUAD SURFACE GRID GENERATOR AFLR4 : Version Number 11.5.9 AFLR4 : Version Date 08/14/23 @ 12:27AM AFLR4 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR4 : Compile Date 08/14/23 @ 01:20PM AFLR4 : Copyright 1994-2021, D.L. Marcum AFLR4 : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- EGADS : --------------------------------------- EGADS : Engineering Geometry Aircraft Design System EGADS : Version 1.25 EGADS : OpenCASCADE Version 7.6.0 EGADS : --------------------------------------- Volume mesh: Number of nodes = 151604 Number of elements = 458324 Number of triangles = 14380 Number of quadrilatarals = 0 Number of tetrahedrals = 222892 Number of pyramids = 425 Number of prisms = 220627 Number of hexahedrals = 0 Writing TECPLOT file: pyCAPS_AFLR4_AFLR3_VolMesh.dat .... Finished writing TECPLOT file real 0m16.106s user 0m15.871s sys 0m0.442s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case aflr4_and_aflr3_PyTest.py passed (as expected) ================================================= ================================================= + echo 'tetgen_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt tetgen_PyTest.py test; + python -u tetgen_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Getting surface mesh for body 1 (of 3) Getting surface mesh for body 2 (of 3) Getting surface mesh for body 3 (of 3) Body 1 (of 3) Number of nodes = 2236 Number of elements = 4468 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 4468 Number of quadrilateral elements = 0 Body 2 (of 3) Number of nodes = 636 Number of elements = 1268 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 1268 Number of quadrilateral elements = 0 Body 3 (of 3) Number of nodes = 267 Number of elements = 530 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 530 Number of quadrilateral elements = 0 ---------------------------- Total number of nodes = 3139 Total number of elements = 6266 Writing TECPLOT file: egadsTessMesh_Surf_0.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_1.dat .... Finished writing TECPLOT file Writing TECPLOT file: egadsTessMesh_Surf_2.dat .... Finished writing TECPLOT file Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 3 Name = Wing1, index = 1 Name = Wing2, index = 2 Name = Farfield, index = 3 Number of surface: nodes - 3139, elements - 6266 Getting volume mesh Generating volume mesh using TetGen..... Tetgen input string = pYq1.500/0.000T1.00e-16A Delaunizing vertices... Delaunay seconds: 0.02 Creating surface mesh ... Surface mesh seconds: 0.01 Recovering boundaries... Boundary recovery seconds: 0.05 Removing exterior tetrahedra ... Spreading region attributes. Exterior tets removal seconds: 0.01 Suppressing Steiner points ... Steiner suppression seconds: 0 Recovering Delaunayness... Delaunay recovery seconds: 0.01 Refining mesh... 4190 insertions, added 2180 points, 134280 tetrahedra in queue. 1395 insertions, added 459 points, 138994 tetrahedra in queue. 1859 insertions, added 487 points, 137112 tetrahedra in queue. 2478 insertions, added 498 points, 120303 tetrahedra in queue. 3304 insertions, added 499 points, 74342 tetrahedra in queue. 4404 insertions, added 474 points, 460 tetrahedra in queue. Refinement seconds: 0.68 Smoothing vertices... Mesh smoothing seconds: 0.42 Improving mesh... Mesh improvement seconds: 0.01 Jettisoning redundant points. Writing nodes. Writing elements. Writing faces. Writing edges. Output seconds: 0.01 Total running seconds: 1.22 Statistics: Input points: 3139 Input facets: 6266 Input segments: 9399 Input holes: 2 Input regions: 0 Mesh points: 8309 Mesh tetrahedra: 41161 Mesh faces: 85455 Mesh faces on exterior boundary: 6266 Mesh faces on input facets: 6266 Mesh edges on input segments: 9399 Steiner points inside domain: 5170 Done meshing using TetGen! Writing TECPLOT file: pyCAPS_Tetgen_Test.dat .... Binary output is not currently supported for Tecplot output ..... switching to ASCII! Finished writing TECPLOT file real 0m2.477s user 0m2.727s sys 0m0.348s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case tetgen_PyTest.py passed (as expected) ================================================= ================================================= + echo 'su2_and_AFLR2_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt su2_and_AFLR2_PyTest.py test; + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt + python -u su2_and_AFLR2_PyTest.py -outLevel=0 Saving geometry Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 5 Name = 2DSlice, index = 1 Name = TunnelWall, index = 2 Name = OutFlow, index = 3 Name = InFlow, index = 4 Name = Airfoil, index = 5 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 4 Name = TunnelWall, index = 1 Name = OutFlow, index = 2 Name = InFlow, index = 3 Name = Airfoil, index = 4 Getting mesh sizing parameters Mesh sizing name - 2DSlice Mesh sizing name - Airfoil Mesh sizing name - InFlow Mesh sizing name - OutFlow Mesh sizing name - TunnelWall Done getting mesh sizing parameters Getting 2D mesh for body 1 (of 1) AFLR2 : --------------------------------------- AFLR2 : AFLR2 LIBRARY AFLR2 : ADVANCING-FRONT/LOCAL-RECONNECTION AFLR2 : TRIA/QUAD GRID GENERATOR AFLR2 : Version Number 9.14.7 AFLR2 : Version Date 07/16/23 @ 01:42PM AFLR2 : Compile OS Linux 3.10.0-1160.88.1.el7.x86_64 x86_64 AFLR2 : Compile Date 07/25/23 @ 11:58AM AFLR2 : Copyright 1994-2021, D.L. Marcum AFLR2 : --------------------------------------- AFLR2 IC : INPUT DATA CHECK AFLR2 IC : Nodes, Faces = 545 0 AFLR2 : CPU Time = 0.000 seconds AFLR2 EG : EDGE GRID RE-GENERATION AFLR2 EG : Nodes, Edges = 545 545 AFLR2 : CPU Time = 0.000 seconds AFLR2 IG : INITIAL GRID GENERATION AFLR2 IG : Nodes, Faces = 0 2 AFLR2 IG : Nodes, Faces = 110 222 AFLR2 IG : Nodes, Faces = 220 442 AFLR2 IG : Nodes, Faces = 330 662 AFLR2 IG : Nodes, Faces = 440 882 AFLR2 IG : Nodes, Faces = 517 1036 AFLR2 IG : Nodes, Faces = 545 1092 AFLR2 IG : Nodes, Faces = 549 1092 AFLR2 IG : Nodes, Faces = 545 545 AFLR2 : CPU Time = 0.002 seconds AFLR2 GG : FIELD GRID GENERATION AFLR2 GGp: Nodes, Faces = 545 545 AFLR2 GGp: Nodes, Faces = 1083 1621 AFLR2 GGp: Nodes, Faces = 1616 2687 AFLR2 GGp: Nodes, Faces = 2144 3743 AFLR2 GGp: Nodes, Faces = 2661 4777 AFLR2 GGp: Nodes, Faces = 3171 5797 AFLR2 GGp: Nodes, Faces = 3672 6799 AFLR2 GGp: Nodes, Faces = 4158 7771 AFLR2 GGp: Nodes, Faces = 4653 8761 AFLR2 GGp: Nodes, Faces = 5142 9739 AFLR2 GGp: Nodes, Faces = 5608 10671 AFLR2 GGp: Nodes, Faces = 6055 11565 AFLR2 GGp: Nodes, Faces = 6482 12419 AFLR2 GGp: Nodes, Faces = 6880 13215 AFLR2 GGp: Nodes, Faces = 7257 13969 AFLR2 GGp: Nodes, Faces = 7614 14683 AFLR2 GGp: Nodes, Faces = 7947 15349 AFLR2 GGp: Nodes, Faces = 8276 16007 AFLR2 GGp: Nodes, Faces = 8575 16605 AFLR2 GGp: Nodes, Faces = 8869 17193 AFLR2 GGp: Nodes, Faces = 9140 17735 AFLR2 GGp: Nodes, Faces = 9401 18257 AFLR2 GGp: Nodes, Faces = 9654 18763 AFLR2 GGp: Nodes, Faces = 9887 19229 AFLR2 GGp: Nodes, Faces = 10110 19675 AFLR2 GGp: Nodes, Faces = 10315 20085 AFLR2 GGp: Nodes, Faces = 10497 20449 AFLR2 GGp: Nodes, Faces = 10656 20767 AFLR2 GGp: Nodes, Faces = 10791 21037 AFLR2 GGp: Nodes, Faces = 10919 21293 AFLR2 GGp: Nodes, Faces = 11022 21499 AFLR2 GGp: Nodes, Faces = 11092 21639 AFLR2 GGp: Nodes, Faces = 11145 21745 AFLR2 GGp: Nodes, Faces = 11156 21767 AFLR2 : CPU Time = 0.043 seconds AFLR2 QI : QUALITY IMPROVEMENT AFLR2 QI : Nodes, Faces = 11156 21767 AFLR2 QI : Nodes, Faces = 11155 819 AFLR2 QI : Nodes, Faces = 11155 10473 AFLR2 QI : Nodes, Faces = 11155 21765 AFLR2 QI : Nodes, Faces = 11154 811 AFLR2 QI : Nodes, Faces = 11154 10476 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11154 805 AFLR2 QI : Nodes, Faces = 11154 10479 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11154 911 AFLR2 QI : Nodes, Faces = 11154 10426 AFLR2 QI : Nodes, Faces = 11154 21763 AFLR2 QI : Nodes, Faces = 11153 915 AFLR2 QI : Nodes, Faces = 11153 10423 AFLR2 QI : Nodes, Faces = 11153 917 AFLR2 QI : Nodes, Faces = 11153 10423 AFLR2 : CPU Time = 0.017 seconds AFLR2 : DONE EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! Writing AFLR3 file .... Finished writing AFLR3 file Number of nodes = 11153 Number of elements = 11885 Number of tris = 917 Number of quad = 10423 Writing TECPLOT file: pyCAPS_su2_aflr2.dat .... Finished writing TECPLOT file EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! EGADS Internal: Face 1, Side 11077 11153 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11077 complete [but 18248] (EG_makeConnect)! EGADS Internal: Face 1, Side 10985 11152 complete [but 18249] (EG_makeConnect)! EGADS Internal: Face 1, Side 11152 11153 complete [but 19022] (EG_makeConnect)! Writing SU2 file .... SU2 expects 2D meshes be in the x-y plane... attempting to rotate mesh! Swapping z and y coordinates! Finished writing SU2 file Getting CFD boundary conditions Boundary condition name - Airfoil Boundary condition name - InFlow Boundary condition name - OutFlow Boundary condition name - TunnelWall Done getting CFD boundary conditions Warning: The su2 cfg file will be overwritten! Write SU2 configuration file for version "Harrier (8.0.0) " Running SU2...... ------------------------------------------------------------------------- | ___ _ _ ___ | | / __| | | |_ ) Release 8.0.0 "Harrier" | | \__ \ |_| |/ / | | |___/\___//___| Suite (Computational Fluid Dynamics Code) | | | ------------------------------------------------------------------------- | SU2 Project Website: https://su2code.github.io | | | | The SU2 Project is maintained by the SU2 Foundation | | (http://su2foundation.org) | ------------------------------------------------------------------------- | Copyright 2012-2023, SU2 Contributors | | | | SU2 is free software; you can redistribute it and/or | | modify it under the terms of the GNU Lesser General Public | | License as published by the Free Software Foundation; either | | version 2.1 of the License, or (at your option) any later version. | | | | SU2 is distributed in the hope that it will be useful, | | but WITHOUT ANY WARRANTY; without even the implied warranty of | | MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU | | Lesser General Public License for more details. | | | | You should have received a copy of the GNU Lesser General Public | | License along with SU2. If not, see . | ------------------------------------------------------------------------- Parsing config file for zone 0 ----------------- Physical Case Definition ( Zone 0 ) ------------------- Compressible Euler equations. Mach number: 0.4. Angle of attack (AoA): 0 deg, and angle of sideslip (AoS): 0 deg. No restart solution, use the values at infinity (freestream). Dimensional simulation. The reference area is 1 m^2. The semi-span will be computed using the max y(3D) value. The reference length is 1 m. Reference origin for moment evaluation is (0, 0, 0). Surface(s) where the force coefficients are evaluated: BC_5. Surface(s) plotted in the output file: BC_5, BC_2. Input mesh file name: ../aflr2/aflr2.su2 --------------- Space Numerical Integration ( Zone 0 ) ------------------ Roe (with entropy fix = 0.001) solver for the flow inviscid terms. Standard Roe without low-dissipation function. Second order integration in space, with slope limiter. Venkatakrishnan slope-limiting method, with constant: 0.05. The reference element size is: 1. Gradient for upwind reconstruction: inverse-distance weighted Least-Squares. Gradient for viscous and source terms: inverse-distance weighted Least-Squares. --------------- Time Numerical Integration ( Zone 0 ) ------------------ Local time stepping (steady state simulation). Euler implicit method for the flow equations. FGMRES is used for solving the linear system. Using a ILU(0) preconditioning. Convergence criteria of the linear solver: 1e-06. Max number of linear iterations: 10. V Multigrid Cycle, with 2 multigrid levels. Damping factor for the residual restriction: 0.75. Damping factor for the correction prolongation: 0.75. No CFL adaptation. +-------------------------------------------+ | MG Level| Presmooth|PostSmooth|CorrectSmo| +-------------------------------------------+ | 0| 1| 0| 0| | 1| 2| 0| 0| | 2| 3| 0| 0| +-------------------------------------------+ Courant-Friedrichs-Lewy number: 10 ------------------ Convergence Criteria ( Zone 0 ) --------------------- Maximum number of solver subiterations: 10. Begin convergence monitoring at iteration 5. Residual minimum value: 1e-14. Cauchy series min. value: 1e-10. Number of Cauchy elements: 100. Begin windowed time average at iteration 0. -------------------- Output Information ( Zone 0 ) ---------------------- File writing frequency: +------------------------------------+ | File| Frequency| +------------------------------------+ | RESTART| 250| | SURFACE_CSV| 250| | TECPLOT| 250| | SURFACE_TECPLOT| 250| +------------------------------------+ Writing the convergence history file every 1 inner iterations. Writing the screen convergence history every 1 inner iterations. The tabular file format is CSV (.csv). Convergence history file name: history. Forces breakdown file name: forces_breakdown_pyCAPS_su2_aflr2.dat. Surface file name: surface_flow_pyCAPS_su2_aflr2. Volume file name: flow_pyCAPS_su2_aflr2. Restart file name: restart_flow_pyCAPS_su2_aflr2.dat. ------------- Config File Boundary Information ( Zone 0 ) --------------- +-----------------------------------------------------------------------+ | Marker Type| Marker Name| +-----------------------------------------------------------------------+ | Euler wall| BC_5| | | BC_2| +-----------------------------------------------------------------------+ | Inlet boundary| BC_4| +-----------------------------------------------------------------------+ | Outlet boundary| BC_3| +-----------------------------------------------------------------------+ -------------------- Output Preprocessing ( Zone 0 ) -------------------- WARNING: SURFACE_PRESSURE_DROP can only be computed for at least 2 surfaces (outlet, inlet, ...) Screen output fields: TIME_ITER, OUTER_ITER, INNER_ITER, CUR_TIME, TIME_STEP, WALL_TIME, RMS_DENSITY, RMS_MOMENTUM-X, RMS_MOMENTUM-Y, RMS_ENERGY, EFFICIENCY History output group(s): ITER, TIME_DOMAIN, WALL_TIME, RMS_RES, AERO_COEFF Convergence field(s): RMS_DENSITY Warning: No (valid) fields chosen for time convergence monitoring. Time convergence monitoring inactive. Volume output fields: COORDINATES, SOLUTION, PRIMITIVE ------------------- Geometry Preprocessing ( Zone 0 ) ------------------- Two dimensional problem. 11153 grid points. 11340 volume elements. 4 surface markers. 98 boundary elements in index 0 (Marker = BC_2). 24 boundary elements in index 1 (Marker = BC_3). 24 boundary elements in index 2 (Marker = BC_4). 399 boundary elements in index 3 (Marker = BC_5). 917 triangles. 10423 quadrilaterals. Setting point connectivity. Renumbering points (Reverse Cuthill McKee Ordering). Recomputing point connectivity. Setting element connectivity. Checking the numerical grid orientation. There has been a re-orientation of 917 TRIANGLE volume elements. There has been a re-orientation of 10423 QUADRILATERAL volume elements. There has been a re-orientation of 73 LINE surface elements. Identifying edges and vertices. Setting the control volume structure. Area of the computational grid: 999.878. Searching for the closest normal neighbors to the surfaces. Storing a mapping from global to local point index. Compute the surface curvature. Max K: 385.665. Mean K: 2.15881. Standard deviation K: 17.7215. Checking for periodicity. Computing mesh quality statistics for the dual control volumes. +--------------------------------------------------------------+ | Mesh Quality Metric| Minimum| Maximum| +--------------------------------------------------------------+ | Orthogonality Angle (deg.)| 49.3184| 90| | CV Face Area Aspect Ratio| 1.00035| 33.4982| | CV Sub-Volume Ratio| 1.00001| 7.89914| +--------------------------------------------------------------+ Setting the multigrid structure. +-------------------------------------------+ | MG Level| CVs|Aggl. Rate| CFL| +-------------------------------------------+ | 0| 11153| 1/1.00| 10| | 1| 2702| 1/4.13| 7.38309| | 2| 549| 1/4.92| 4.99198| +-------------------------------------------+ Finding max control volume width. Wetted area = 2.06265 m. Area projection in the x-plane = 0.211192 m, y-plane = 0.997011 m. Max. coordinate in the x-direction = 1 m, y-direction = 0.102037 m. Min. coordinate in the x-direction = 0.000323229 m, y-direction = -0.108492 m. Checking if Euler & Symmetry markers are straight/plane: Boundary marker BC_5 is NOT a single straight. Boundary marker BC_2 is NOT a single straight. Computing wall distances. -------------------- Solver Preprocessing ( Zone 0 ) -------------------- Inviscid flow: Computing density based on free-stream temperature and pressure using the ideal gas law. Force coefficients computed using free-stream values. -- Models: +------------------------------------------------------------------------------+ | Viscosity Model| Conductivity Model| Fluid Model| +------------------------------------------------------------------------------+ | -| -| STANDARD_AIR| +------------------------------------------------------------------------------+ -- Fluid properties: +------------------------------------------------------------------------------+ | Name| Dim. value| Ref. value| Unit|Non-dim. value| +------------------------------------------------------------------------------+ | Gas Constant| 287.058| 1| N.m/kg.K| 287.058| | Spec. Heat Ratio| -| -| -| 1.4| +------------------------------------------------------------------------------+ -- Initial and free-stream conditions: +------------------------------------------------------------------------------+ | Name| Dim. value| Ref. value| Unit|Non-dim. value| +------------------------------------------------------------------------------+ | Static Pressure| 101325| 1| Pa| 101325| | Density| 1.22498| 1| kg/m^3| 1.22498| | Temperature| 288.15| 1| K| 288.15| | Total Energy| 216054| 1| m^2/s^2| 216054| | Velocity-X| 136.119| 1| m/s| 136.119| | Velocity-Y| 0| 1| m/s| 0| | Velocity Magnitude| 136.119| 1| m/s| 136.119| +------------------------------------------------------------------------------+ | Mach Number| -| -| -| 0.4| +------------------------------------------------------------------------------+ Initialize Jacobian structure (Euler). MG level: 0. Initialize Jacobian structure (Euler). MG level: 1. Initialize Jacobian structure (Euler). MG level: 2. ------------------- Numerics Preprocessing ( Zone 0 ) ------------------- ----------------- Integration Preprocessing ( Zone 0 ) ------------------ ------------------- Iteration Preprocessing ( Zone 0 ) ------------------ Euler/Navier-Stokes/RANS fluid iteration. ------------------------------ Begin Solver ----------------------------- Simulation Run using the Single-zone Driver WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations WARNING: SU2 was not compiled for an AVX-capable architecture. Performance could be better, see https://su2code.github.io/docs_v7/Build-SU2-Linux-MacOS/#compiler-optimizations +----------------------------------------------------------------------------------------------------------------------------------------------+ | Time_Iter| Outer_Iter| Inner_Iter| Cur_Time| Time_Step| Time(sec)| rms[Rho]| rms[RhoU]| rms[RhoV]| rms[RhoE]| CEff| +----------------------------------------------------------------------------------------------------------------------------------------------+ | 0| 0| 0| 0.0000e+00| 0.0000e+00| 1.0157e-01| 0.071067| 2.739763| 1.616077| 5.599701| 0.055267| | 0| 0| 1| 0.0000e+00| 0.0000e+00| 9.9746e-02| -0.447576| 2.193837| 1.571349| 5.070382| 0.046028| | 0| 0| 2| 0.0000e+00| 0.0000e+00| 9.8980e-02| -0.561159| 2.074597| 1.490746| 4.953698| 0.033910| | 0| 0| 3| 0.0000e+00| 0.0000e+00| 9.8325e-02| -0.723326| 1.921155| 1.390756| 4.790278| 0.049695| | 0| 0| 4| 0.0000e+00| 0.0000e+00| 9.7524e-02| -0.876572| 1.825653| 1.275093| 4.637161| 0.133976| | 0| 0| 5| 0.0000e+00| 0.0000e+00| 9.7435e-02| -1.029177| 1.759196| 1.156078| 4.482282| 0.368542| | 0| 0| 6| 0.0000e+00| 0.0000e+00| 9.7242e-02| -1.215334| 1.704909| 1.114966| 4.286060| 0.974759| | 0| 0| 7| 0.0000e+00| 0.0000e+00| 9.7317e-02| -1.329970| 1.644937| 1.145030| 4.116982| 2.716332| | 0| 0| 8| 0.0000e+00| 0.0000e+00| 9.7148e-02| -1.270672| 1.570739| 1.155400| 4.139480| 16.569216| | 0| 0| 9| 0.0000e+00| 0.0000e+00| 9.6865e-02| -1.221243| 1.499646| 1.130319| 4.189559| -6.277653| ----------------------------- Solver Exit ------------------------------- Maximum number of iterations reached (ITER = 10) before convergence. +-----------------------------------------------------------------------+ | Convergence Field | Value | Criterion | Converged | +-----------------------------------------------------------------------+ | rms[Rho]| -1.22124| < -14| No| +-----------------------------------------------------------------------+ ------------------------------------------------------------------------- +-----------------------------------------------------------------------+ | File Writing Summary | Filename | +-----------------------------------------------------------------------+ |SU2 binary restart |restart_flow_pyCAPS_su2_aflr2.dat | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |CSV file |surface_flow_pyCAPS_su2_aflr2.csv | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |Tecplot binary |flow_pyCAPS_su2_aflr2.szplt | Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). |Tecplot binary surface |surface_flow_pyCAPS_su2_aflr2.szplt| Error in tecFileWriterClose: Not all node map values for zone 1 have been written. Currently need 994 more values.0: Error finishing Tecplot file output. Writing the forces breakdown file (forces_breakdown_pyCAPS_su2_aflr2.dat). +-----------------------------------------------------------------------+ --------------------------- Finalizing Solver --------------------------- Deleted CNumerics container. Deleted CIntegration container. Deleted CSolver container. Deleted CIteration container. Deleted CInterface container. Deleted CGeometry container. Deleted CFreeFormDefBox class. Deleted CSurfaceMovement class. Deleted CVolumetricMovement class. Deleted CConfig container. Deleted nInst container. Deleted COutput class. ------------------------------------------------------------------------- ------------------------- Exit Success (SU2_CFD) ------------------------ Total Force - Pressure + Viscous Cl = 0.072253 Cd = -0.01151 Cmz = 0.070975 Cx = -0.01151 Cy = 0.072253 Pressure Contribution Cl_p = 0.072253 Cd_p = -0.01151 Cmz_p = 0.070975 Cx_p = -0.01151 Cy_p = 0.072253 Viscous Contribution Cl_v = 0.0 Cd_v = 0.0 Cmz_v = 0.0 Cx_v = 0.0 Cy_v = 0.0 real 0m2.146s user 0m1.925s sys 0m0.333s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case su2_and_AFLR2_PyTest.py passed (as expected) ================================================= ================================================= + echo 'masstran_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt masstran_PyTest.py test; + python -u masstran_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Getting results mass properties..... Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Ribs_and_Spars, index = 1 Mapping capsMesh attributes ................ Number of unique capsMesh attributes = 0 Getting surface mesh for body 1 (of 1) Body 1 (of 1) Number of nodes = 1159 Number of elements = 798 Number of node elements = 0 Number of line elements = 0 Number of triangle elements = 0 Number of quadrilateral elements = 798 ---------------------------- Total number of nodes = 1159 Total number of elements = 798 Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = Rib_Constraint, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 0 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsConnect attributes ................ Number of unique capsConnect attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = Ribs_and_Spars, index = 1 Setting FEA Data Mesh for body = 0 Number of nodal coordinates = 1159 Number of elements = 798 Elemental Nodes = 0 Elemental Rods = 0 Elemental Tria3 = 0 Elemental Quad4 = 798 Getting FEA materials....... Number of materials - 2 Material name - Madeupium Material name - Unobtainium No "materialType" specified for Material tuple Unobtainium, defaulting to "Isotropic" Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - Ribs_and_Spars No "material" specified for Property tuple Ribs_and_Spars, defaulting to an index of 1 Done getting FEA properties Updating mesh element types based on properties input Area 3.428666259747 Mass 5383.006027803 Centroid [1.222256036874, 0.1634981473778, -4.234557619726e-11] CG [1.222256036874, 0.1634981473778, -4.234646255666e-11] Ixx 22133.97306033 Iyy 23448.30046709 Izz 1389.213122582 Ixy 164.2055275657 Ixz -7.454848862776e-06 Iyz 1.707686870183e-06 I [22133.97306033, 23448.30046709, 1389.213122582, 164.2055275657, -7.454848862776e-06, 1.707686870183e-06] II [[22133.97306033, -164.2055275657, 7.454848862776e-06], [-164.2055275657, 23448.30046709, -1.707686870183e-06], [7.454848862776e-06, -1.707686870183e-06, 1389.213122582]] real 0m3.934s user 0m6.716s sys 0m1.419s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case masstran_PyTest.py passed (as expected) ================================================= ================================================= + echo 'hsm_SingleLoadCase_PyTest.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt hsm_SingleLoadCase_PyTest.py test; + python -u hsm_SingleLoadCase_PyTest.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Mapping Csys attributes ................ Number of unique Csys attributes = 0 Getting FEA coordinate systems....... Number of coordinate systems - 0 No coordinate systems found - defaulting to global Mapping capsConstraint attributes ................ Number of unique capsConstraint attributes = 1 Name = plateEdge, index = 1 Mapping capsLoad attributes ................ Number of unique capsLoad attributes = 1 Name = plate, index = 1 Mapping capsBound attributes ................ Number of unique capsBound attributes = 0 Mapping capsGroup attributes ................ Number of unique capsGroup attributes = 1 Name = plate, index = 1 Creating HSM BEM Getting quads for BEM! Number of nodal coordinates = 81 Number of elements = 96 Elemental Tria3 = 0 Elemental Quad4 = 64 Getting FEA materials....... Number of materials - 1 Material name - Madeupium Done getting FEA materials Getting FEA properties....... Number of properties - 1 Property name - plate Done getting FEA properties Getting FEA constraints....... Number of constraints - 1 Constraint name - edgeConstraint No "constraintType" specified for Constraint tuple edgeConstraint, defaulting to "ZeroDisplacement" Done getting FEA constraints Getting FEA loads....... Number of loads - 1 Load name - appliedPressure Done getting FEA loads Writing Nastran grid and connectivity file (in small field format) .... Finished writing Nastran grid file Max Adjacency set to = 9 MaxDim = 32, numBCEdge = 0, numBCNode = 32, numJoint = 0 NEED TO ADD MORE CODE TO hsm_setGlobalParameter NEED TO ADD MORE CODE TO hsm_setNodeBCParameter NumBCNode = 32 ->HSMSOL Matrix Non-zero Entries = 5741 Converging HSM equation system ... iter dr dd dp rlx max 1 0.130E-01 0.165E+00 0.153E-04 1.000 ddy @ ( 0.25 0.06 0.00) 1* 0.260E-03 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.12 0.00) 1* 0.240E-05 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.09 0.00) 2 0.379E-02 0.505E-01 0.750E-04 1.000 ddy @ ( 0.25 0.19 0.00) 2* 0.420E-04 0.000E+00 0.000E+00 1.000 drz @ ( 0.25 0.12 0.00) 3 0.168E-02 0.225E-01 0.208E-04 1.000 ddy @ ( 0.25 0.06 0.00) 4 0.325E-03 0.427E-02 0.250E-05 1.000 ddy @ ( 0.25 0.19 0.00) 5 0.794E-05 0.112E-03 0.170E-06 1.000 ddy @ ( 0.25 0.19 0.00) 6 0.387E-08 0.598E-07 0.197E-09 1.000 ddy @ ( 0.25 0.06 0.00) 7 0.848E-15 0.147E-13 0.924E-15 1.000 ddy @ ( 0.25 0.19 0.00) Converging n vectors ... it = 1 dn = 0.788E-01 rlx = 1.000 ( 59 ) it = 2 dn = 0.566E-16 rlx = 1.000 ( 35 ) Converging e1,e2 vectors ... it = 1 de = 0.691E-03 rlx = 1.000 ( 45 ) it = 2 de = 0.404E-04 rlx = 1.000 ( 9 ) it = 3 de = 0.142E-07 rlx = 1.000 ( 46 ) it = 4 de = 0.521E-11 rlx = 1.000 ( 62 ) Calculating strains, stress resultants ... Writing HSM solution to Tecplot File - HSMSingleLoadPlate.dat real 0m1.669s user 0m1.641s sys 0m0.361s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case hsm_SingleLoadCase_PyTest.py passed (as expected) ================================================= ================================================= + echo '../corsairlite/qp.py test;' + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt ../corsairlite/qp.py test; + python -u ../corsairlite/qp.py -outLevel=0 + tee -a /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/CAPSexamples/regressionTest/pyCAPSlog.txt Objective --------- -21.56250 dimensionless Variables --------- x : 1.62500 [-] The X variable y : 1.37500 [-] The Y variable Constants --------- c : 3 [-] A constant c2 : 2 [-] A constant Sensitivities ------------- c : + 0.46957 A constant c2 : - 0.22338 A constant Solve Report ------------ Solve Method : Quadratic Program Classification : Convex Solver : cvxopt real 0m2.570s user 0m2.418s sys 0m1.001s + status=0 + set +x ================================================= CAPS verification (using pyCAPS) case ../corsairlite/qp.py passed (as expected) ================================================= ************************************************* ************************************************* ================================================= Did not run examples for: abaqus awave Cart3D Astros Mystran ================================================= All tests pass! + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7 + mkdir -p /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/test/plugs + cd /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/test/plugs + sort -f + find /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/Plugs -name 'plugs0*.csm' find: ‘/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/EngSketchPad/data/Plugs’: No such file or directory + awk '{ n=split($0, a, ";"); for(i=1; i<=n; i+=2) print a[i] }' /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP/data/plugs/plugsFailure.txt + cp /home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7/JenkinsESP/data/plugs/plugsIgnore.txt . + set +x Testing CSM files: total 27M -rw-rw-r--. 1 jenkins jenkins 1.3M Mar 6 07:51 ASTRO.D01 -rw-rw-r--. 1 jenkins jenkins 316K Mar 6 07:51 ASTRO.IDX -rwxrwxr-x. 1 jenkins jenkins 1.1M Mar 6 07:51 avl -rwxrwxr-x. 1 jenkins jenkins 30K Mar 6 07:50 egads2cart -rwxrwxr-x. 1 jenkins jenkins 46K Mar 6 07:52 friction -rwxrwxr-x. 1 jenkins jenkins 8.2M Mar 6 07:51 mastros.exe -rwxrwxr-x. 1 jenkins jenkins 727K Mar 6 07:51 mses -rwxrwxr-x. 1 jenkins jenkins 536K Mar 6 07:51 mset -rwxrwxr-x. 1 jenkins jenkins 39K Mar 6 07:51 phaseUtil -rw-rw-r--. 1 jenkins jenkins 1.6K Mar 6 07:54 pod.egads -rw-rw-r--. 1 jenkins jenkins 0 Mar 6 07:54 port7681.jrnl -rwxrwxr-x. 1 jenkins jenkins 451K Mar 6 07:51 pplot -rwxrwxr-x. 1 jenkins jenkins 405K Mar 6 07:51 pxplot -rwxrwxr-x. 1 jenkins jenkins 115K Mar 6 07:51 sensCSM -rwxrwxr-x. 1 jenkins jenkins 508K Mar 6 07:50 serveCSM -rwxrwxr-x. 1 jenkins jenkins 443K Mar 6 07:51 serveESP -rwxrwxr-x. 1 jenkins jenkins 568K Mar 6 07:51 Slugs -rwxrwxr-x. 1 jenkins jenkins 169K Mar 6 07:51 TestFit -rwxrwxr-x. 1 jenkins jenkins 62K Mar 6 07:50 vCurvature -rwxrwxr-x. 1 jenkins jenkins 36K Mar 6 07:50 vGeom -rwxrwxr-x. 1 jenkins jenkins 63K Mar 6 07:50 vTess -rwxrwxr-x. 1 jenkins jenkins 11M Mar 6 07:50 vTesstatic -rw-rw-r--. 1 jenkins jenkins 195K Mar 6 07:54 wingMultiModel.bdf -rwxrwxr-x. 1 jenkins jenkins 1.1M Mar 6 07:51 xfoil [Execution node] check if [reynolds-centOS7] is in [[viggen]] Run condition [Execution node ] preventing perform for step [Execute shell] [Execution node] check if [reynolds-centOS7] is in [[windows10x64]] Run condition [Execution node ] preventing perform for step [Execute Windows batch command] [GNU C Compiler (gcc)] Parsing console log (workspace: '/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7') [GNU C Compiler (gcc)] Successfully parsed console log [GNU C Compiler (gcc)] -> found 0 issues (skipped 0 duplicates) [GNU C Compiler (gcc)] Skipping post processing [GNU C Compiler (gcc)] No filter has been set, publishing all 0 issues [GNU C Compiler (gcc)] Repository miner is not configured, skipping repository mining [Clang] Parsing console log (workspace: '/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7') [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Parsing console log (workspace: '/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7') [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Successfully parsed console log [Clang] -> found 0 issues (skipped 0 duplicates) [Clang] Parsing console log (workspace: '/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7') [Clang] Skipping post processing [Clang] No filter has been set, publishing all 0 issues [Clang] Repository miner is not configured, skipping repository mining [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Parsing console log (workspace: '/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7') [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Skipping post processing [Static Analysis] No filter has been set, publishing all 0 issues [Static Analysis] Repository miner is not configured, skipping repository mining [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Successfully parsed console log [Static Analysis] -> found 0 issues (skipped 0 duplicates) [Static Analysis] Parsing console log (workspace: '/home/jenkins/workspace/ESP_Beta/CASREV/7.6/ESP_ARCH/LINUX64/buildnode/reynolds-centOS7') [Static Analysis] Skipping post processing [Static Analysis] No filter has been set, publishing all 0 issues [Static Analysis] Repository miner is not configured, skipping repository mining [Static Analysis] Reference build recorder is not configured [Static Analysis] No valid reference build found [Static Analysis] All reported issues will be considered outstanding [Static Analysis] No quality gates have been set - skipping [Static Analysis] Health report is disabled - skipping [Static Analysis] Created analysis result for 0 issues (found 0 new issues, fixed 0 issues) [Static Analysis] Attaching ResultAction with ID 'analysis' to build 'ESP_Beta/CASREV=7.6,ESP_ARCH=LINUX64,buildnode=reynolds-centOS7 #687'. [Checks API] No suitable checks publisher found. [WS-CLEANUP] Deleting project workspace... [WS-CLEANUP] Deferred wipeout is used... [WS-CLEANUP] done Finished: SUCCESS